XILINX的ISE中,逻辑分析仪chipscope与cpu芯片逻辑设计链接不上是因为什么呢?程序可以下载,就是chipscope连不上。

iMPACT和ChipScope是什么东西?xilinx公司的产品,他的是一种芯片的名字还是什么?_百度知道
iMPACT和ChipScope是什么东西?xilinx公司的产品,他的是一种芯片的名字还是什么?
我有更好的答案
都是FPGA开发辅助软件,IMPACT是设置约束的,ChipScope是在线逻辑分析仪,进行信号的观察。
采纳率:39%
为您推荐:
其他类似问题
chipscope的相关知识
换一换
回答问题,赢新手礼包
个人、企业类
违法有害信息,请在下方选择后提交
色情、暴力
我们会通过消息、邮箱等方式尽快将举报结果通知您。Xilinx ISE 12.4使用手册 ------Edit by C2_3051. Xilinx ISE 12.4用户界面ISE界面如下图所示,由上到下主要分为标题栏、菜单栏、工具栏、工程管理区,源文件编辑区、过程管理区、信息显示区、状态栏等八部分。图1.1 ISE界面标题栏:主要显示当前工程的路径、名称及当前打开的文件名称; 菜单栏:主要包括文件(File)、编辑(Edit)、视图(View)、工程(Project)、源文件(Source)、操作(Process)、工具(Toll)、窗口(Window)、帮助(Help)等八个下拉菜单; 工具栏:主要包括了常用命令的快捷按钮;工程管理区:提供工程及其相关文件的管理和显示功能。主要包括设计页面(Design)、文件页面(Files)、开始视图(Start)和库视图(Liabrary)。其中,设计页面视图最常见,显示了源代码的层次关系;源文件编辑区:提供了源代码的编辑功能;过程管理区:本窗口显示的内容取决于过程管理区所选择文件,相关操作和FPGA设计流程相关,包括设计输入、综合、仿真、实现和生成配置文件等;信息显示区:显示ISE中的处理信息,如操作步骤信息、警告信息和错误信息等; 状态显示栏:显示相关命令和操作的信息,并指示ISE软件当前所处的状态;2.新建工程
打开ISE,选择File|New Project,在弹出的新建对话框中输入工程名,例如“Myproject”,并选择工程文件路径,例如“E:\Proj_FPGA\Myproject”,如下图所示,以后将以这个工程名和路径说明。选择好以后,next,需要注意以下几个选项。Famliy:选择所使用的FPGA类型;Device:选择所使用的FPGA具体型号;Synthesis Toll:选择所使用的综合工具,默认为ISE自带的XST工具; Simulator:选择仿真软件,默认是ISE自带的IPreferred Language:选择所使用的硬件语言,我们选择Verilog; 图2.1 新建工程step1后面直接点next即可,直到完成新工程的建立。 图2.2 新建工程step23.Verilog HDL代码的输入和功能的仿真
在工程管理器任意位置单击鼠标右键,在弹出的菜单中选择“New Source”命令,一般用到的是Verilog Module、IP、、Verilog Test bench选项,分别可以创建verilog模块文件、调用FPGA内部的IP核、创建测试文件。 图3.1 新建源代码对话框在示例中我们选择新建一个Verlig Module模块Proj_top作为顶层文件,直接点击Next,在这一级可以编辑模块的输入输出,也可以不设置,在以后的代码中直接指定,这里为了方便我们不设置输入输出,此后一直next直到完成。当然,以后可以新建更多模块加入到工程中,方法一样。 图3.2源代码输入创建完成后如图3.2所示,这时,我们已经创建了一个Verilog模块的例子,剩余工作就是在源文件编辑区编写代码以实现设计功能。以下是一个简单的例子。例1:利用Verilog代码实现8bit计数器
module Proj_top(
input clk,
input rst,
output reg [7:0] dout
);always @ (posedge clk) begin
if(!rst)//low act
dout <= 8'h00;
dout <= dout + 1'b1; endendmodule输入代码完成后,保存,双击过程管理区中的Synthesize XST,出现对工程进行综合。综合过程中,如在信息显示区出现error,需要根据提示查看修改代码。当工程正确无误后,该选项将变为绿色的对号(代码正确)或者黄色的感叹号(含有警告,可以忽略,需要具体分析)。 图3.3 工程的综合
图3.4测试文件的创建为了对设计程序做逻辑上的验证,一般,我们可以添加一个测试文件来验证所设计的程序的正确性。在工程管理区的View这一栏,选择Simulation,并在下面的hierarchy空白处右键NewSource,选择 Verilog Test Fixture,其创建方式其实和上面所讲的文件的创建类似。 在此示例中,我们创建一个名为“test”的测试模块。测试代码如下:
// Outputs
wire [7:0]
// Instantiate the Unit Under Test (UUT)
Proj_top uut (
.clk(clk),
.rst(rst),
.dout(dout)
initial begin
// Initialize Inputs
// Wait 100 ns for global reset to finish
// Add stimulus here
rst = 1;//add by yourself
endalways #10 clk = ~//add by yourself
endmodule这时,在工程管理区选中到测试文件名“test”上,在过程管理区中双击Simulate Behavioral Model,如果正确,运行结束将弹出仿真界面Isim。 图3.5综合测试文件 图3.6 Isim仿真界面Isim界面大体和ISE相似,下面列举几个常用的工具: 图3.6 Isim工具栏从左到右:波形放大、缩小、波形全部显示、将光标移到波形中央、刷新、光标的左移、右移、添加新光标、重新产生测试波形、一直运行、按设定的时间步进运行。
在这里可以观察波形是否和设计一致。至此,一个最简单的ISE工程从设计到逻辑验证完成。4.综合与实现
一个完整的FPGA工程,需要进行综合、实现2个过程。综合(Synthesize):将HDL语言、原理图等设计输入翻译成由与、或、非门和RAM、触发器等基本逻辑单元连接(网表),并根据目标和要求(约束条件)优化所生成的逻辑连接,生成NGC、NCR以及LOG文件。综合之后可双击View RTL Schematic来查看门级电路;实现(Implement):将综合输出的逻辑网表翻译为所选器件的底层模块与硬件源语,将涉及映射到器件结构上,进行布局布线,以达到在选定的器件上实现设计的目的。主要分为3步:翻译(Translate)逻辑网表、映射(Map)到器件单元、布局布线(Place&Route); 图4.1 FPGA的综合和实现5.FPGA文件的下载在安装目录寻找打开impact,在左侧impact flow窗口中双击,然后在右面空白区域右键单击ADD Xilinx Device,选择所需加载的.bit文件,然后右侧窗口出现FPGA的绿色符号,在符号上右键单击Program,等待下载完毕。 图5.1 FPGA文件下载step1 图5.2 FPGA文件下载step2 6.添加chipscopeFPGA在线调试一般要需要用到chipscope(逻辑分析仪),这样可以实时抓取我们感兴趣的信号状态,用来分析工程的正确性。添加方式和新建Verilog文件一样,选择Chipscope Definition and Connection File。这里,创建了一个名为test的chipscope。 图6.1 新建chipscope新建完成后,在工程管理区双击test.cdc,弹出如下界面: 图6.2 chipscope配置step1Next,设置深度,默认为1k,注意勾选来设定所抓取的数据的个数,Next,设置数据存储,next,点击Modefy Connection, 图6.3 chipscope配置step2 图6.4 chipscope配置step3左上区域可以选择具体模块,左下框图中的Pattern选项中可搜索信号名,格式为“*信号名*”(引号内部),在右面的区域可以添加触发信号和所需抓取的数据。设置完毕ok,点击。添加完成后,在ISE界面的过程管理区双击Generate Programming File,完成工程得综合、翻译。布局布线、映射和产生输出文件。正确以后,双击Analyaze Design Using ChipScope,打开逻辑分析仪调试程序。 图6.5 编译并打开chipscope调试在连接JTAG Chain之前,首先必须确认FPGA仿真器连接到目标板上,目标板上电且仿真器连接正确以后,仿真器指示灯显示为绿色。确认以后,点击图标,连接仿真器。成功后,选中DEV:0MyDevice0,右键单击configure,勾选Clean previous project setting,OK。 图6.6 ChipScope Pro Analyzer界面 图6.7 Configure 图6.8 配置好的ChipScope Pro Analyzer配置好ChipScope Pro Analyzer,需要特别关注Trigger Setup和 Waveform这2个窗口,可以在Trigger Setup中设置触发条件,在Waveform中查看触发后的波形;工具栏中需特别关注:左起分别为触发(必需等待设置的触发状态到来)、停止触发、马上触发(不等待设置的触发状态是否到来,在当前状态立即触发);利用这几个最常用的工具和其他一些工具可以完成带电调试FPGA,和DSP配合使用调试目标板。 百度搜索“就爱阅读”,专业资料,生活学习,尽在就爱阅读网92to.com,您的在线图书馆!
欢迎转载:
推荐:    第1章 FPGA开发简介
1.1可编程逻辑器件基础
1.1.1可编程逻辑器件概述
1.1.2可编程逻辑器件的发展历史
1.1.3PLD开发工具
1.2FPGA芯片结构
1.2.1FPGA工作原理与简介
1.2.2FPGA芯片结构
1.2.3软核、硬核以及固核的概念
1.3基于FPGA的开发流程
1.3.1FPGA设计方法概论
1.3.2典型FPGA开发流程
1.3.3基于FPGA的SOC设计方法
1.4Xilinx公司主流可编程逻辑器件简介
1.4.1Xilinx FPGA芯片介绍
1.4.2Xilinx PROM芯片介绍
1.5本章小结
第2章Verilog HDL语言基础
2.1Verilog HDL语言简介
2.1.1Verilog HDL语言的历史
2.1.2Verilog HDL的主要能力
2.1.3Verilog HDL和VHDL的区别
2.1.4Verilog HDL设计方法
2.2Verilog HDL基本程序结构
2.3Verilog HDL语言的数据类型和运算符
2.3.1标志符
2.3.2数据类型
2.3.3模块端口
2.3.4常量集合
2.3.5运算符和表达式
2.4Verilog HDL语言的描述语句
2.4.1结构描述形式
2.4.2数据流描述形式
2.4.3行为描述形式
2.4.4混合设计模式
2.5Verilog代码书写规范
直属事业部
扫描关注官方微博
扫描关注官方微信
版权所有(C)2014 清华大学出版社有限公司 京ICP备号 京公网安备48号当前位置:
FPGA技术牛人谈开发
众所周知,FPGA是可编程芯片,因此FPGA的设计方法包括硬件设计和软件设计两部分。硬件包括FPGA芯片电路、 存储器、输入输出接口电路以及其他设备,软件即是相应的HDL程序以及嵌入式C程序。
  8. 板级仿真与验证  板级仿真主要应用于高速中,对高速系统的信号完整性、电磁干扰等特征进行分析,一般都以第三方工具进行仿真和验证。  9.芯片编程与调试  设计的最后一步就是芯片编程与调试。芯片编程是指产生使用的数据文件(位数据流文件,Bitstream Generation),然后将编程数据下载到FPGA芯片中。其中,芯片编程需要满足一定的条件,如编程电压、编程时序和编程算法等方面。逻辑分析仪(Logic Analyzer,LA)是FPGA设计的主要调试工具,但需要引出大量的测试管脚,且LA价格昂贵。目前,主流的FPGA芯片生产商都提供了内嵌的在线逻辑分析仪(如Xilinx ISE中的ChipScope、Altera QuartusII中的SignalTapII以及SignalProb)来解决上述矛盾,它们只需要占用芯片少量的逻辑资源,具有很高的实用价值。
&&&&&&&&&&
本文由入驻OFweek公众平台的作者撰写,观点仅代表作者本人,不代表OFweek立场。如有侵权或其他问题,请联系举报。
用户名/邮箱/手机:
忘记密码?
用其他账号登录: QQ
请输入评论
最新活动更多 &&
广东省/深圳市
广东省/深圳市
广东省/深圳市
北京市/海淀区
江苏省/无锡市
江苏省/无锡市
北京市/海淀区
北京市/海淀区
浙江省/金华市
浙江省/金华市
*文字标题:
*纠错内容:
联系邮箱:
*验 证 码:ise是什么软件_中华文本库
ISE使用指南(完整版)_信息与通信_工程科技_专业资料。fpga学习XILINX软件的使用第4 章 ISE 开发环境使用指南 第 1 节 ISE 套件的介绍与安装 4.1.1 ISE 简要介...
ISE软件使用_信息与通信_工程科技_专业资料。一、软件 Xilinx 的软件主要是 ISE, EDK, ChipScope Pro, System Generator, PlanAhead, ModelSim, 如果 要算上 Acce...
ISE程序下载详细教程_信息与通信_工程科技_专业资料。ISE程序下载到FLASH存储器的详细教程 ISE 程序下载的详细教程本教程是将程序下载到开发板里的 FLASH 里面 1、...
XIlinx公司ISE软件使用中文说明_工学_高等教育_教育专区。XIlinx公司ISE软件使用中文说明DiP 十分钟学会 ISE 十分钟学会 ISE DIGITAL POWER STUDIO DiP 声明 十分钟学...
基于VHDL语言的ISE设计流程 --创建一个新的设计文件块存储器映像文件 在线逻辑分析仪Chipscope定义和连接文件 实现约束文件 IP生成向导 存储器文件 原理图文件 用户...
Xilinx公司软件平台介绍 --开发工具 ISE Design Suite涉及了FPGA设计的各个应用方面, 包括逻辑开发、数字信号处理系统以及嵌入式系统开发等 FPGA开发的主要应用领域,...
关于Xilinx ISE简单使用方法介绍_计算机软件及应用_IT/计算机_专业资料。关于 Xilinx ISE(14.2)简单使用方法介绍安装 ISE 软件基本上是一路点击鼠标就是,但必须安装...
基于VHDL语言的ISE设计流程 --创建一个新的设计文件块存储器映像文件 在线逻辑分析仪Chipscope定义和连接文件 实现约束文件 IP生成向导 存储器文件 原理图文件 用户...
ISE_FPGA烧写_计算机软件及应用_IT/计算机_专业资料。ISE_FPGA烧写1.编译工程 右击如图, rebuild all. successfully 编译成功。 2. 等待。。 Process &Generate ...
§1.4 软件使用—ISE9.1 软件使用—新建项目工程 新建设计文件 设计编译改错 设计仿真测试 设计文件下载—— 设计文件下载 适配、编程、 适配、编程、下载 1 设计...

我要回帖

更多关于 常用逻辑芯片 的文章

 

随机推荐