vhdl中end EDA中processs怎么错了,怎么改

中还要经过编译(语法分析)、綜合(描述转换)、布局布线等过程

进程语句中的敏感信号缺如的话,一般在进程中会有wait语句其实进程语句中的敏感信号表就相当于隱含的wait语句。wait语句的作用是将进程在“仿真”和“挂起”(暂停仿真)两个状态之间转换。

如果一个进程既没有敏感信号、也没有wait语句嘚话在仿真初始化(启动仿真时,对每一个并行语句都要仿真一遍)时就会进入仿真死循环,有点儿类似软件的死循环程序

我要回帖

更多关于 EDA中process 的文章

 

随机推荐