台积电只被批准供货28nm和32nm哪个好还有必要让他代工吗

逼近物理极限本文主要探讨普通硅工艺逻辑芯片的先进制程。先进制程是指集成电路产业晶圆制造中最为顶尖的若干个工艺节点随着时间不断演变升级,而就当前时點来看本文将 16/14nm 及以下节点纳入先进制程的范围。根据国际半导体技术路线图(ITRS)的规定制程节点代数通常以晶体管的半节距(half-pitch)或栅極长度(gate length)等特征尺寸(CD,critical dimension)来表示以衡量集成电路工艺水平。摩尔定律指出:“集成电路芯片上所集成的电路的数目每隔 18-24 个月就翻┅倍;微处理器的性能提高一倍,或价格下降一半”根据摩尔定律,制程节点以 0.7 倍(实际为根号 2 的倒数)递减逼近物理极限从 开始各廠商节点名称的定义越来越模糊,已不能完全对应器件的物理尺寸目前 14nm、10nm 的节点名称大致对应栅极长度的一半。

更快更高更强性能需求引领先进制程进步。“天下武功唯快不破”持续提高芯片性能是先进制程的核心追求,因此先进制程的应用主要为高性能计算领域包括

(AP)、GPU、ASIC、FPGA 等芯片,对应下游包括智能手机、个人电脑、服务器、矿机等这些应用对于性能要求极高,而非将成本作为首要衡量因素目前 7nm 及 10nm 主要应用包括智能手机 AP/SoC、个人电脑及服务器 CPU、矿机 ASIC 等。14nm 主要应用包括中高端 AP/SoC、显卡 GPU、矿机 ASIC、FPGA 等较为成熟的 28nm和32nm哪个好 节点主要應用包括中低端手机、平板、机顶盒、路由器等主芯片。

历年先进制程均率先应用于旗舰级智能手机 AP 或计算机

等手机主芯片通常采用最先进两代工艺打造,旗舰手机主芯片走在制程前沿最先进制程推出后即开始采用,新制程出现后向下转移而中低端手机主芯片通常采鼡次顶级制程打造。以苹果手机以及高通各主处理器制程及推出时间为例苹果每代手机芯片基本采用当年度台积电、三星最先进、良率穩定的制程打造,近两年的 10nm、7nm 制程苹果手机芯片均为首发量产芯片。高通依据产品线不同采用制程各有侧重例如骁龙 400 系列定位中低端、骁龙 600 系列定位中高端,均会考虑成本均衡而高通骁龙 800 系列定位旗舰级,每年通常采用三星电子当期最先进工艺英特尔作为制程工艺領先的 IDM 厂商,其推出的 CPU 也长期是最先应用先进制程的产品

矿机 ASIC、显卡 GPU、FPGA 同为先进制程重要应用。显卡 GPU 如英伟达、AMDASIC 如比特大陆主流矿机芯片,FPGA 如赛灵思基带芯片如 iPhone X 采用的高通 X16 LTE、iPhone Xs 系列采用的英特尔 XMM7560 等通常采用顶级或次顶级先进制程。以矿机芯片、AI 芯片为代表的高性能计算芯片成为近几年拉动先进制程需求的全新力量矿机芯片已步入

市场空间:300 亿美元空间,IDM 与代工平分秋色

半导体整体空间达 4122 亿美元逻辑集成电路市场 1022 亿美元。根据世界半导体贸易统计组织(WSTS)全球半导体销售额 2017 年为 4122 亿美元,同比+21.6%2018 上半年为 2290 亿美元,同比+20.2%WSTS 预计 2018 年市场规模 4771 亿美元,同比+16%集成电路销售额占比 83.25%,对应 2017 年 3432 亿美元市场逻辑集成电路占比 24.79%,对应 1022 亿美元市场同比增速 11.7%。

全球先进制程市场空间约 300 億美元代工市场先进制程市场空间约 150 亿美元。根据 Gartner全球 16nm 及以下先进制程 2017 年占比约 11%,对应市场空间约为 300 亿美元根据 IC Insights 资料显示,2017 年全球整体晶圆代工销售额为 623.1 亿美元其中 16/20nm 以下先进制程占比 24%,对应约 150 亿美元市场先进制程 IDM 市场与代工市场份额平分秋色。

竞争格局:摩尔定律步入极限先进制程玩家所剩无几

先进制程进入 IDM 与代工两大模式竞争阶段。半导体产业目前有两大商业模式:1)IDM(Integrated Device Manufacture整合器件制造商)模式同时完成设计、制造、封测和销售四个环节。早期的半导体制造企业为 IDM 模式例如英特尔自 1968 年创立,为 IDM 模式的代表IDM 优点在于规模经濟性以及对内部全流程的掌握和整合优化,产品开发时间短、厂商具备核心技术优势多适用于大型企业;然而 IDM 模式长期发展带来的问题昰投资规模巨大、沉没成本高,随着制程发展需不断投入新产能对中小型公司不友好,因此产生了设计+代工的垂直分工模式2)垂直分笁模式则是无晶圆厂半导体设计公司(Fabless)专注于设计和营销并将生产外包于晶圆代工厂(Foundry),将封装测试分工至封测厂(Outsourced Assembly and TestOSAT)。台积电于 1987 姩开创晶圆代工的商业模式推进制造与设计、封装相分离。随着制程更细微的发展趋势拉动研发、建厂开支急剧增长推动 IDM 公司持续扩夶委外释单,向 Fab-lite(部分 IDM+部分委外)、Fabless 模式转移例如英飞凌、恩智浦、意法半导体均较早采用了 Fab-lite 策略将部分订单转移至台积电。

英特尔为 IDM 先进制程传统老大代工厂商各梯队分化明显。英特尔自 PC 时代崛起长期稳居先进制程前沿,目前逻辑芯片 IDM 厂商英特尔一家独大代工厂商根据年度销售额来看,台积电在全球晶圆代工厂中一骑绝尘市占率达 55.9%,其余厂商市占率在 10%以下仅从逻辑 IC 制造最先进节点来看,目前主要分为三大梯队台积电、Intel(IDM)、三星(IDM/Foundry)为三大一线梯队厂商,格罗方德、联电等紧随其后我国大陆晶圆代工厂商主要位于第二三線梯队,其中中芯国际作为我国行业标杆目前位于第二梯队。

先进制程龙头集中联电、格罗方德相继放弃投资,玩家仅余三家随着晶体管尺寸不断缩小,集成电路发热和漏电等问题凸显保持先进的制程以及高良率需要高研发投入和设备投入,龙头集中趋势愈发明显联电是台湾第二大晶圆代工厂,格罗方德则是 2009 年 AMD 晶圆制造部门分拆独立而成的世界第二大纯晶圆代工厂两家公司均位列全球晶圆制造苐二梯队。2018 年 8 月联电宣布放弃 12nm 以下的先进工艺研发不再追求成为市场老大,而是专注改善公司的投资回报率联电未来还会投资研发 14nm 及妀良版的 12nm 工艺,但不会再大规模投资更先进的 7nm 及未来的 5nm 等工艺2018 年 8 月底,继 AMD 宣布将 7nm CPU 代工移至台积电后格罗方德宣布放弃 7nm LP 制程研发,将资源回收至 12nm 及 14nm 上来由此目前全球还在研发和生产 10/7nm 制程的厂商仅剩台积电、三星、英特尔三家。

先进制程龙头集中联电、格罗方德相继放棄投资,玩家仅余三家随着晶体管尺寸不断缩小,集成电路发热和漏电等问题凸显保持先进的制程以及高良率需要高研发投入和设备投入,龙头集中趋势愈发明显联电是台湾第二大晶圆代工厂,格罗方德则是 2009 年 AMD 晶圆制造部门分拆独立而成的世界第二大纯晶圆代工厂兩家公司均位列全球晶圆制造第二梯队。2018 年 8 月联电宣布放弃 12nm 以下的先进工艺研发不再追求成为市场老大,而是专注改善公司的投资回报率联电未来还会投资研发 14nm 及改良版的 12nm 工艺,但不会再大规模投资更先进的 7nm 及未来的 5nm 等工艺2018 年 8 月底,继 AMD 宣布将 7nm CPU 代工移至台积电后格罗方德宣布放弃 7nm LP 制程研发,将资源回收至 12nm 及 14nm 上来由此目前全球还在研发和生产 10/7nm 制程的厂商仅剩台积电、三星、英特尔三家。

台积电、三星迅速追赶英特尔代工制造站稳塔尖

纵观发展历史,传统龙头英特尔“两年一代”不再延续14nm 节点已停留 4 年。英特尔是 PC 时代领导市场的半導体传统龙头其在 2007 年宣布了著名的“嘀嗒”(Tick-Tock)战略模式。“嘀嗒”意为钟摆的一个周期“嘀”代表芯片工艺提升、晶体管变小,而“嗒”代表工艺不变芯片核心架构的升级。一个“嘀嗒”代表完整的芯片发展周期耗时两年。按照 tick-tock 的节奏英特尔可以跟上摩尔定律的节奏,大约每 24 个月可以让晶体管数量翻一番2015 年左右,英特尔宣布采用“架构、制程、优化” (APO,Architecture Process Optimization)的三步走战略这意味着英特尔每 36 个月晶体管財会翻一番。事实上英特尔自 2015 年起已在 14nm 节点停留约 4 年时间从 Skylake(14nm)、Kaby

台积电、三星快速追赶,跟上摩尔定律步伐台积电和三星凭借晶圆玳工后来居上,获得智能手机时代苹果、高通等大客户在英特尔宣布三步走战略的 2015 年,三星宣布正式量产 14nm FinFET随后台积电于 2015 下半年量产 16nm FinFET 工藝。尽管两家厂商的节点命名相对自由但在节点标号上已经与英特尔平起平坐。此后四年英特尔反复升级 14nm 节点10nm 经历多次跳票。三星、囼积电则于 2017 年量产 10nm 工艺并且台积于 2018 年率先推出 7nm 工艺,执棒跟上摩尔定律步伐

台积电先进制程是业绩成长核心,营收占比近 38%28nm和32nm哪个好 鉯下占比超过 50%。2016 年、2017 年台积电 28nm和32nm哪个好 及以下先进制程占收入比重分别为 54%、58%2018Q2 这一比重增长为 61%,预计这一趋势将会持续近几年来看,如果剔除最先进制程的收入其余部分收入基本处于平稳下降的趋势,可见最先进制程贡献了公司主要的收入增长更新制程的出现,对于原有制程形成一部分替代故原有制程总体表现为平稳下降。台积电作为行业龙头公司制程技术的持续推进成为巩固行业地位以及保持收入持续增长的必要条件。

2019 年三大厂商技术水平相当台积电占据先发优势

2015 年起国际半导体路线图不再更新,厂商节点命名更加随意国際半导体技术发展路线图(ITRS)是由五个主要半导体制造国家和地区(美国、欧洲、日本、韩国、中国台湾)相关协会资助的组织,每年组織半导体产业专家组成的工作小组进行数次讨论并发布报告对产业研发方向提出建议。但自 2015 年起国际半导体路线图 ITRS 宣布不再更新组织哽名为国际元件及系统技术路线图(IRDS),发布报告内容不再偏重芯片运算速度与性能而偏重终端设备的需要。从 28nm和32nm哪个好 节点左右开始厂商在节点代号的命名出于营销考虑变得更加自由,台积电与三星节点之间没有明显迭代关系英特尔则始终贯彻摩尔定律的规则为新淛程节点命名。

同样命名为 14/16nm、10nm 节点英特尔实际性能明显领先。从晶体管密度、栅极间距、最小金属间距、鳍片高度、栅极长度等指标来看英特尔的 14nm、10nm 节点均显著优于台积电、三星同节点技术。14/16nm 方面2014 年发布的英特尔 14nm 节点每平方毫米 3750 万个晶体管,台积电 16nm 节点约为每平方毫米 2900 万个晶体管三星 14nm 节点约为每平方毫米 3050 万个晶体管;英特尔 14nm 节点栅极长度 24nm 优于台积电栅极长度 33nm 及三星栅极长度 30nm。10nm 方面英特尔晶体管密喥每平方毫米 1.008 亿个,台积电 10nm 节点晶体管密度每平方毫米 4810 万个三星 10nm 节点晶体管密度每平方毫米 5160 万个;英特尔 14nm 节点栅极长度约 18nm 优于三星栅极長度约 25nm,英特尔 14nm 节点鳍片高度 53nm 优于三星鳍片高度 49nm 及台积电鳍片高度约 44nm此外,英特尔各项指标均与 IRDS 路线图同节点标准基本相符或更优

英特尔 14nm≈台积电、三星“12nm”(即略逊于 10nm);英特尔 10nm=三星 7nm=台积电 7nm+(2019 EUV)(略高于台积电初代 7nm)。从晶体管密度来看2014 年发布的英特尔 14nm 节点为每平方毫米 3750 万個晶体管,略低于台积电每平方毫米 4800 万及三星每平方毫米 5100 万水平英特尔 10nm 节点晶体管密度为每平方毫米 1.008 亿个,三星 7nm 节点为每平方毫米 1.0123 亿基本持平;而台积电宣称初代 7nm 节点晶体管密度为 16nm 节点的约 3 倍、10nm 节点的 1.6 倍,由此推算每平方毫米约 8000 万个晶体管略低于英特尔 10nm 节点水平;而 2019 姩台积电采用 EUV 工艺的 N7+节点也将量产,披露晶体管密度提升 20%由此计算晶体管密度达到每平方毫米 1 亿个左右水平,将与英特尔、三星 2019 年量产笁艺基本一致

台积电 7nm 分两步走,初代非 EUV 工艺已于 2018 年量产EUV 版 7nm plus 预计 2019 年量产,与三星 7nm、英特尔 10nm 同级台积电 7nm 节点较两代前的 16FF+带来 35%速度提升或功耗减少 65%、晶体管密度增加 3 倍;相较于 10nm 节点带来 20%速度提升或功耗降低 40%、晶体管密度 1.6 倍。相比之下采用 EUV 的 N7+节点将额外带来晶体管密度 20%提升、10%功率减少、而没有速度提升2018 年 8 月份用于 N7+节点的 EDA 软件认证已经就绪,台积电预计 2019 年初 EUV 版 7nm 开始爬坡未来 5nm 节点相较于非 EUV 的 7nm 节点,预计晶体管密度提升 1.8 倍功率减少 20%,速度提升 15%或在极低阈值电压(ELTV)下速度提升 25%,台积电目标

2019 年三大龙头工艺水平实际并驾齐驱尽管命名有差别,三大厂商技术水平总体是并驾齐驱的从各项指标来看,2019 年预计量产的台积电 7nm EUV 版节点(N7+)、三星 7nm、英特尔 10nm 各项参数均基本相近主要区別在于台积电、三星采用 EUV 制程打造而英特尔仍采用 ArF 193i 多重图形化技术。台积电目前初代 7nm(未采用 EUV)已经量产是市面已量产的最先进制程,時间上具有先发优势该优势至少保持到 2019 年竞争对手量产,并且 2019 年台积电仍有望率先量产 EUV 版制程保持先发优势。台积电预计 2018 年 7nm 芯片量产囿超过 50 个流片包括 CPU、GPU、AI 加速芯片、矿机 ASIC、网络、游戏、5G、汽车芯片等。

以当前时点来看台积电略占上风,未来关键看英特尔 10nm 量产进度就目前已发布技术来看,英特尔持续更新的 14nm 技术与台积电 10nm 技术大致同级目前台积电已量产的 7nm 制程显著优于英特尔的 14nm 制程。而英特尔推遲到 2019 年下半年量产的 10nm 技术预计与台积电的 2019 年上半年量产的 EUV 版 7nm 制程大致相当由此可见,目前台积电相较于英特尔在量产时间上略占上风洏实际技术储备差别不大,未来关键看英特尔 10nm 量产进度若英特尔 10nm 如期于 2019 年下半年量产,台积电与英特尔同级别产品量产时间差别在半年鉯内;若英特尔 10nm 进度进一步推迟将无疑大幅利好台积电。

延续摩尔定律多层次新技术各显神通

业界在光刻、材料、器件、系统化设计哆方面不断引入新技术。在半导体领域过去发展中各方面新技术不断导入例如 65nm 引入 Ge strained 沟道、45nm 引入 high-k 值绝缘层 / 金属栅极(HKMG)、32nm 第二代 high-k 绝缘层 / 金屬栅工艺等,从 22nm 开始采用 FinFET(鳍式场效应晶体管)等未来预计可以看到从 7nm 节点开始 EUV 全面取代 DUV 光刻,5nm 节点 GAA 结构或成为主流Co、SiGe、Ru、III-V 族等新材料引入,3nm 后引入立体结构设计等新变化由此也可以预见研发投入及资本开支不断高涨,先进制程成为强者游戏

光刻工艺:两条技术路徑,EUV 与多重图案化

英特尔 10nm 进展不顺后段多重图案曝光是主因

多重图案化(Multi-patterning)使晶圆厂得以在现有光刻技术下继续提高光刻分辨率。光刻機分辨率所受影响因素如公式 CD=k1*λ/NA 所示其中 CD 是可达到的最小临界尺寸,代表光刻机的分辨率;k1 是多个与工艺相关参数的集合系数;λ是光波长(ArF DUV 对应 193nmEUV 对应 13nm);NA 是光刻机数值孔径。先进光刻机为了提高分辨率会尽量减小 k1,增大数值孔径并选用更短波长。下图展示了各节點与 k1 参数对应关系横轴为特征尺寸,纵轴为 k1 参数黄线代表 193nm 波长的 ArF 浸没式光刻机,最右侧蓝线代表 13nm 波长的 EUV 光刻机在 IC 设计不进行妥协的凊况下,k1 参数最小为 0.6 左右在 EDA 软件的解析度增强技术(RET)及光学临近效应修正技术(OPC)辅助下,k1 值最小可降至 0.2 左右对应节点大约为 30nm。如進行更小尺寸光刻OPC 修正图案会重叠,解决方案是将图形分为两个独立的光罩即采用多重图案曝光。采用多重图案化技术后k1 值可以降臸 0.2 以下,进一步提高光刻分辨率

在 7nm 节点出现两种技术路径,既可以采用 193nm 波长+SAQP 四重图案化达到所需分辨率也可采用 EUV+单次图案化。下图黄線中红点处即代表采用 193i 浸没式光刻机+SAQP 四重图案技术对应英特尔所选择的技术路线;7nm 在蓝线中蓝色区域代表采用 EUV 光刻机单次图案化,代表囼积电和三星所选择的技术路线在之后的 5nm 节点,193i 光刻机技术难度更大采用 EUV 双重图案化是较为合理的选择。

英特尔 10nm 节点一再推迟:后段采用多重四图案曝光(SAQP)良率较低可能是主要原因英特尔在 10nm 节点尽管特征尺寸等同于台积电、三星 7nm 节点,但未采用波长 13nm 的极紫外(EUV)光刻技术而是继续采用波长 193nm 的深紫外(DUV)结合多重曝光进行光刻。英特尔 10nm 项目开始较早原计划 2016 量产,当时 EUV 尚未成熟故英特尔选择了 SAQP 的技术路径,但研发过程中遭遇困难导致 10nm 一再推迟。横向对比三家厂商前后段曝光技术我们发现前段工艺基本相似,鳍片形成均采用了哆重四图案曝光(SAQP)栅极形成均采用了多重双图案曝光(SADP),contact 层形成采用了 LE(光照 - 刻蚀)、LELE、LELELE、甚至 LELELELE 的方法三星采用了 EUV 方法。在后段金属层形成方面三家厂商技术路线有明显区别台积电 7nm 采用多重双图案曝光(SADP)、三星采用 EUV 方法,而英特尔选择了多重四图案曝光(SAQP)的方法由于后段 M1 金属层是光刻中尺寸最小的瓶颈,实质上较 SADP 大幅增加了难度同时英特尔还在 Contact 层和 M1 金属层首次采用了金属 Co。在此方法下朂小金属间距可以达到 36nm,等同于三星采用 EUV 方法达到的效果并明显小于台积电 SADP 方法达到的 40nm。换言之英特尔运用后段的 SAQP 方法,实现了同 EUV 一樣的效果但良率目前仅能达到 50%~60%,不满足大规模量产要求导致英特尔 10nm 量产时间一再推迟。

从成本角度考量193i 多重图案化在某些场景仍然昰最为经济的选择。根据东京电子测算的不同曝光工艺标准化晶圆成本EUV 单次曝光的成本是 193i(DUV)单次曝光的 4 倍,而 193i 四重图案曝光 SAQP 是 3 倍EUV 单佽曝光技术的晶圆成本高于自对准四图案曝光(193i SAQP)。采用 193i SAQP 仍然具有成本优势

EUV 仍是 7nm 节点之后必然趋势

近两年内来看( 年),7nm 节点后光刻技術从 DUV 转至 EUV设备价值剧增。当前使用的沉浸式光刻技术波长 193nm(DUV深紫外光),而当进行 7nm 以下节点制造时就需采用波长 13nm 的 EUV 光刻机根据 ASML 公布嘚路线图,EUV 光刻机首先于 2018 年在 7nm 及以下逻辑芯片开始应用在 EUV 设备制造过程中,由于 EUV 波长仅 13nm没有合适介质进行精准折射,因而所有光路设計均采用反射的形式设计更加复杂,对精度要求极高制造难度极大。全球只有 ASML 生产的 NXE3400B 是唯一支持 7nm 及 5nm 的 EUV 光刻机单台机器价值约 1.17 亿美元。

台积电拥有 EUV 设备最多为 ASML 最大客户,三星次之EUV 设备作为 7nm 以下制程必备工艺设备,对厂商最新制程量产具有至关重要的作用由于对精喥要求极高,台积电与 ASML 在研发上有相关技术配合台积电与三星是 ASML 前两大订购客户。对于中国大陆厂商来说并不存在“瓦森纳协议”限淛向中国出口最先进 EUV 光刻机的情况。中芯国际目前已从 ASML 预定 1 台 EUV 光刻机这对于中芯国际未来发展 7nm 以下技术具有积极意义。

英特尔 7nm 采用 EUV 双重曝光技术已有提前布局仍有望按原定计划量产。尽管 193i 更为经济EUV 仍是未来更先进制程不可或缺的工具。英特尔在 Fab42 工厂已有布局 EUV计划用於 7nm 及以下节点,由于英特尔 7nm 节点不再面临 SAQP 四重曝光技术难题而是 EUV 双重曝光,有望重回正轨按原定计划 2020 年量产

材料:少量金属层中运用鈷(Co)金属

继 20 年前铜取代铝后,钴(Co)成为新一轮芯片金属层材料变革核心伴随着晶体管体积的持续缩小,芯片中的金属接触及互连结構需变得更加纤细由此带来两方面的挑战:一是减少电路的电阻,从而保证芯片较高运算速度;二是防止出现金属原子扩散以及金属原孓电迁移从而提高芯片耐用性。目前芯片内主流的金属材料铜和钨已经沿用了 20 年1997 年 IBM 首次实现将芯片内金属材料由当时的行业标准铝互連换为铜互连,使得导电电阻下降 40%、耐用性提高了 100 倍迎来了集成电路铜制程时代。尽管相比铝具有低电阻、高耐用特点铜、钨材料仍具有其局限:为防止铜、钨的扩散效应及电迁移并增加与绝缘层的附着力,生产中需首先沉积一层障壁层(Barrier)及衬垫层(Liner)当特征尺寸微缩至 10 纳米左右时将没有金属层的容纳空间,衬层及障壁层的厚度成了工艺微缩瓶颈此外,铜的晶界散射很严重影响了纳米级导线的電阻。正由于现有材料的局限性钴作为新一代金属层材料开始运用。

特征尺寸在 10nm 以下时钴(Co)较铜、钨更具优势:由于钴的晶界散射仳铜小,在特征尺寸 10nm 以下时钴 Co 的电阻比铜更小特征尺寸在 10nm 左右时,若采用钨接触(Contact)金属层容纳空间主要被障壁层及衬垫层占据,实際金属层厚度将变为 0;若采用钴接触其障壁层仅 4 纳米,而实际金属层仍有 6 纳米使用钴则可以使接触孔的特征尺寸达到 5nm 以下。

三家公司均采用少量钴作为衬层英特尔率先扩大至内连接线中整体采用。英特尔、台积电、三星均开始在关键金属层的衬层(Liner)和帽层(Cap)采用叻钴材料此前衬层采用的材料为钽 / 氮化钽(Ta/TaN),转换到钴 / 氮化钽(Co/TaN)能够改善电子迁移以及铜的扩散效应采用钴帽层同样能够改善电孓迁移。英特尔更进一步在 10nm 节点的 M0 及 M1 互连层金属内连接中完全采用钴金属填充主要由于在内连接间距缩小而铜的电阻较高的情况下,钴荿为低电阻的替代方案英特尔 10nm 制程的金属间距为 36nm,而台积电 7nm 制程金属间距为 40nm 或尚无此需求;三星金属间距同为 36nm或也将运用钴金属内连接方案。

结构设计:2024 年后转向垂直立体化发展

根据国际半导体技术发展路线图(ITRS)的后续机构国际元件及系统技术路线图(IRDS)2017 年报告2024 年後芯片面积缩小的速度将明显放缓,转向垂直型晶体管或立体结构发展过去 50 多年来行业主要依靠微细化 CMOS 尺寸,来提高芯片和计算系统性能的发展途径但根据 IRDS 预计到 2024 年后半导体技术将开辟不依赖 CMOS 微细化的新途径,主要利用垂直方向纳米线晶体管(VGAA)或 3D 叠层的方式(3D VLSI)

中短期来看( 年),节点进行到 7nm 之后开始尝试平面纳米线 /GAA 技术大致在 5nm 节点、3nm 节点大规模应用。根据 IRDS 2017 报告在 5nm 和 3nm 节点主要采用“LGAA”即“Lateral Gate-All-Around(横姠环绕式栅极)”或称作“nanowire(纳米线)”的方法,使得栅极(Gate)四面包裹沟道实现对电流的有效控制,减少因栅极长度 / 沟道长度进一步縮小带来的漏电问题在 3nm 节点之后将横向 GAA 结构转化为纵向 GAA 结构,以进一步提高晶体管密度

制造龙头地位牵动芯片产品竞争

先进制程竞争巳成为影响 CPU 决定因素

工艺提升对于 CPU 性能提升影响明显。工艺提升带来的作用有频率提升以及架构优化两个方面一方面,工艺的提升与频率紧密相连使得芯片主频得以提升(详见附录);另一方面工艺提升带来晶体管规模的提升,从而支持更加复杂的微架构或核心带来架构的提升。根据 CPU DB 的数据可以看出在芯片发展历史上,工艺提升显著带来了频率提升和架构提升的作用随着制程节点进步,可以发现頻率随工艺增长的斜率已经减缓由于登德尔缩放定律的失效以及随之而来的散热问题,单纯持续提高 CPU 时钟频率变得不再现实厂商也逐漸转而向低频多核架构的研究。

Intel CPU但由于采用了堆积更多核心的设计,实际多线程性能强于同价位 Intel CPU因而 AMD Ryzen CPU 实际拥有更高性价比。

目前服务器市场英特尔占据约 99%市场、AMD 约 1%;桌面级市场英特尔约占 91%、AMD 约 9%AMD 在 CPU 市场长期位于市场第二,近几年来市场份额有显著下降但自 2017 年 AMD 发布 Ryzen 新系列之后,新品获得较好反响市场份额开始好转。2018 年 AMD 服务器 CPU 市场份额已回升超过 1%个人电脑 CPU 市场份额亦回升至 10%左右。

AMD 加持台积电后工艺沝平赶超英特尔,有望持续扩张市场份额近期 AMD 宣布在 7nm 节点采用台积电工艺,其长期合作的代工厂 GlobalFoundries 放弃 7nm 研发一方面,由此可见赛道壁垒歭续提高GlobalFoundries7nm 技术进展已无法满足 AMD 需求,台积电在有限的未来先进工艺代工中难以看到对手另一方面,CPU 已经进入 Fabless + Foundry 阶段英特尔与 AMD 之间的竞爭实质上变为英特尔作为 IDM 与台积电代工工艺的竞争。由于台积电 2018 年 Q2 已量产 7nm 工艺AMD 有望从 2019 年上半年逐渐出货 7nm CPU 产品,而英特尔 10nm 预计 2019 下半年量产实际出货恐延后至 2020 年。AMD 有望工艺领先 Intel 一年左右未来一年内在服务器端和个人电脑端 AMD 有望持续扩张市场份额,预计 AMD 与台积电双双获益

CAPEX 鈈断推高,未来强者恒强

先进工艺晶圆趋势推动晶圆代工行业技术、设备、资金壁垒不断增加。(1)技术壁垒:光刻技术、新材料和新笁艺、新结构、工艺误差、工艺集成技术挑战不断增加(2)设备壁垒:在先进制程中,EUV 光刻机的生产难度和成本都非常大导致 ASML 的 EUV 全年絀货仅 12 台,今年可望增加至 20 台现累积订单约 27 台,其中有 5 台已被台积电预订费款高达 5.5

制程领先具有正反馈效果,技术差距逐步拉大持續稳定增长的下游市场使得纯晶圆代工行业面临的竞争逻辑单纯,只需重点关注生产过程(如何提高良率、降低成本)因此纯晶圆代工廠可以尽可能地做更多的资本开支,提高生产效率实现规模经济,随着时间推移资本和技术壁垒就越来越坚实在下游市场出现革命性變革之前,新进入者靠自身资源实现赶超的可能性就越来越小以台积电为例,在形成了规模化生产以及先进制程的领先后追赶者与之嘚差距只会越来越大。2000 年之初中国台湾晶圆代工领域还是“双雄”(另外一家是台联电)但随着台积电率先研发出 0.13 微米制程,联电研发落后此后联电再未赶上台积电。2017 年台积电在全球晶圆代工领域市占率 57.8%联电排名第 3,但仅有 8.5%

资本开支高企,未来保持强者恒强趋势從资本开支角度,2017 年台积电 Capex 高达 109 亿美元远高于其他代工厂,能够与之相竞争的晶圆厂仅有三星(242 亿美元)和英特尔(132 亿美元)晶圆代笁领域有极强的技术投入正反馈效应:持续的技术投入带来先进制程优势,先进制程优势带来持续的订单收入与利润持续的订单得以支撐未来更大规模的技术投入。

先进制程具有局限性长效节点由此诞生

经济性决定应用与节点匹配

先进制程设备折旧成本高昂,随制程进展成本逐渐提高以台积电及联电为例,其成本结构中折旧费用占比接近 50%设备折旧在成本中占比最大。越先进的制程其设备进行折旧的姩限越少且由于技术先进其资本支出的金额更大,反映到成本相对更高除设备折旧以外,更先进的制程涉及到专利使用费可能性更高(成本占比约 30%)且采用的直接、间接材料成本更高(成本占比约 7%),同样带来先进制程成本的提高因而芯片设计厂商在选择制程时,需要考虑对应其应用领域性能与成本的关系,选择合适的制程达到性能与成本的平衡点

40nm、55nm 节点包括物联网 WiFi/ 蓝牙芯片等应用,一次投片嘚费用极高节点停留时间长。锐迪科、上海乐鑫等国内厂商 WiFi、蓝牙芯片产品主要采用 55nm 及 40nm 打造节点停留时间长达 5 至 6 年,主要原因在于流爿成本较高转移制程动力不足。对于 40nm 来说一次流片成本在 40-60 万美元级别,14nm 则需要 200-300 万美元左右流片费主要为制作掩膜的费用。由于更高節点流片成本、设计成本均较高在需求未发生根本性变化情况下制程转移的动力较弱,这些芯片本身大多运用在中低端领域对于芯片性能没有大幅提升的要求,因而制程转移动力较弱

28nm和32nm哪个好 主芯片逐渐流向更低制程,需求尚待上层节点转移填补28nm和32nm哪个好 目前多包含 AP 主芯片等性能性芯片,随着市场对于主芯片性能要求的提升这些芯片的制程会跟随需求逐渐向下流动,以保持核心竞争力随着主芯爿由 28nm和32nm哪个好 向下转移,而其他芯片 40nm、55nm 向下转移不足28nm和32nm哪个好 当前部分或出现需求断层、晶圆代工厂产能过剩情况。

浅析长效节点:28nm和32nm哪个好 当前具备成本优势

在设计成本不断上升的情况下较少的代工厂客户可以负担得起转向高级节点。根据 Gartner 的数据16nm / 14nm 芯片的平均 IC 设计成夲约为 8000 万美元,而 28nm和32nm哪个好 平面器件则约为 3000 万美元设计 7nm 芯片需要 2.71 亿美元。而根据 IBS 的数据28nm和32nm哪个好 平面器件的设计成本大致在 5130 万美元,洏 7nm 芯片需要 2.98

就单位芯片成本而言28nm和32nm哪个好 优势明显,将保持较长生命周期一方面,相较于 40nm 及更落后制程28nm和32nm哪个好 工艺在频率调节、功耗控制、散热管理和尺寸压缩方面具有显著的优势。另一方面由于 20nm 及更先进制程采用 FinFET 技术,维持高参数良率以及低缺陷密度难度加大每个逻辑闸的成本高于 28nm和32nm哪个好 制程。虽然高端市场会被 7nm、10nm 以及 14nm/16nm 工艺占据但 40nm、28nm和32nm哪个好 等并不会退出,28nm和32nm哪个好–16nm 工艺现在仍然是台積电的营收主力中芯国际保持制程阶梯的连续性,持续提高 28nm和32nm哪个好 良率极为重要预期 28nm和32nm哪个好 将在较长时间保持强需求工艺节点地位。

28nm和32nm哪个好 需求结构由逻辑向特殊工艺演变市场需求稳定。2015 年至 2016 年28nm和32nm哪个好 工艺主要应用在手机应用处理器及基带,同时机顶盒囷数字电视等市场需求不断兴起,2019 年至 2020 年 28nm和32nm哪个好 工艺将渗透到混合信号产品和 ISP 芯片领域不断涌现的新应用将促进 28nm和32nm哪个好 工艺保持较長的时间窗口。根据 IBS 估算2014 年全球 28nm和32nm哪个好 晶圆需求 291

中芯国际:成熟制程贡献业绩,先进制程将临突破

已开始导入客户预计于 2019 年下半年量产,未来中芯国际会在保持盈利能力的前提下持续提升先进制程(2)成熟技术节点和特殊工艺平台上,公司大力强化高性价比的产品包括电源管理芯片 PMIC、图像传感器 CIS、嵌入式非易失性存储 eNVM(eEEPROM、eFlash、MTP、OTP 等)、微机电系统 MEMS、射频 RF、LCD driver 等。

公司 40nm 至 0.35μm 制程技术成熟2018Q3 营收占比达 92.9%,昰公司最主要的盈利来源12 英寸成熟制程方面应用处理器、视频处理芯片、WiFi 蓝牙芯片为主要应用。其中 40nm 制程营收占比约 18.7%主要来自逻辑、射频、NAND。55/65nm 营收占比约 21.0%主要来自逻辑、MCU、射频、NOR、eFlash 等。8 英寸方面 PMIC、指纹识别、图像传感器为主要推动力其中 0.15/0.18μm 营收占比最大,约 39.5%对应電源管理芯片、指纹识别芯片、图像传感器需求。0.11/0.13μm 营收占比约 8.7%主要来自 CIS、嵌入式存储。公司主要客户包括高通、华为、博通、FPC、格科微、兆易创新等

最后一个版本,将显著提升性能、降低功耗有望开拓部分智能手机及物联网应用市场。

14nm 预计将于 2019 年 H2 量产应用品类“彡步走”策略,打开公司成长空间14nm 需解决 FinFET 技术问题,是下一步进入 10nm 以及 7nm 的关键节点根据 1Q18 电话会议,14nm 量产主要经历三个阶段第一阶段昰成本>ASP,第二季度成本与 ASP 相抵第三阶段成本<ASP。这三个阶段需要控制产能逐步爬升在产品品类也需要慎重选择,第一阶段主要聚焦高端愙户、多媒体应用等第二阶段聚焦中低端移动应用,并且在 AI、矿机、区块链等应用有所准备第三阶段为实现高 ASP,会发展射频应用以目前行业龙头台积电来看,14/16nm 制程约占其收入 25%占比为最大,是重要营收来源预计公司 2019 年成功实现 14nm 量产后,逐步改善营收结构中长期为公司带来大量营收贡献。

IC 设计台积电、英特尔、三星晶圆厂产能统计

工艺指标与芯片性能的关系

制程工艺的进步可以提高芯片性能具体來讲包括三个方面的影响:规模增大、频率提高、功耗下降。规模主要对应工艺指标主要包括晶体管密度、栅极间距(Gate pitch)、最小金属间距(Minimum MetalPitch)等频率和功耗对应指标主要包括栅极长度(Gate length)、鳍片高度(Fin height)等。

晶体管密度(TransistorDensity)提高可以扩大芯片的晶体管规模,增加并行工莋的单元或核心或者缩小芯片面积,提高良率并降低单位成本晶体管密度指单位面积上的晶体管数量,晶体管数量是集成电路复杂性嘚最常见测量指标根据摩尔定律总结,集成电路上可容纳的晶体管数目约每隔两年便会增加一倍在单个芯片尺寸不变的情况下,晶体管密度相应提高一倍整体晶体管规模增大使得芯片可以进行更多的并行工作,并且增加了 IC 设计的空间衡量晶体管密度有多种计算方式,例如传统的采用“栅极间距×最小金属间距”或者“栅极间距×逻辑单元高度”以及英特尔公司制程架构与集成总监 Mark Bohr 于 2017 年提出的逻辑晶體管密度公式,即“晶体管数量 / 平方毫米=0.6×NAND2 晶体管数量 / NAND2 单元面积+0.4×扫描触发器(SFF)晶体管数量 / 扫描触发器单元面积”传统公式没有说明設计库中不同类型的逻辑单元及这些指标量化相对于上一代的相对密度,Mark Bohr 提出的公式区分了典型设计中小单元和大单元的权重比例更加標准化且易于比较。

栅极长度(Gate Length)越小可使芯片的频率提高或者功耗下降。栅极长度缩小(或者沟道长度缩小)使得源极与漏极之间距離缩小电子仅需流动较短的距离就能够运行,从而可以增加晶体管开关切换频率提升芯片工作频率;另一方面,栅极长度缩小、电子鋶动距离减小可以减低内阻降低所需导通电压,芯片工作电压降低由于动态功耗 P=C*V^2*f,功耗与电压的平方、频率成正比在相同工作频率丅电压下降带来功耗降低。

芯片频率的提高与功耗下降两个目标此消彼长不可兼得。晶体管的功耗包括静态功耗及动态功耗两部分静態功耗是电路稳定时的功耗,即常规的电压乘电流而动态功耗指电容充放电功耗和短路功耗,即晶体管在做 1 和 0 的相互转换时会根据转换頻率的高低产生不同大小的功耗根据登德尔缩放比例定律(罗伯特·登纳德与 1974 年提出),晶体管面积的缩小使得其所消耗的电压以及电鋶会以差不多相同的比例缩小即如果晶体管的大小减半,该晶体管的静态功耗将会降至四分之一(电压电流同时减半)在产业初期根據登纳德缩放比例,设计者可以大大地提高芯片的时钟频率因为提高频率所带来的更多的动态功耗会和减小的静态功耗相抵消。大概在 2005 姩之后漏电现象的出现打破了原先登纳德所提出的定律,使得晶体管在往更小工艺制作时候的静态功耗不减反增同时也带来了很大的熱能转换,使得芯片的散热成为了急需解决的问题因而芯片已无法继续在增加频率的同时降低总体功耗,根据动态功耗 P=C*V^2*f 可以得出频率提高与功耗下降两个目标的关系是此消彼长的,需要根据芯片设计可以在两者之间寻求平衡

FinFET 结构中提高鳍片高度(Fin Height),可以减少漏电的發生进一步提高性能或降低功耗。在栅极长度(或沟道长度)缩小到一定程度后量子隧穿效应就会变得极为容易,会产生较大的电流泄漏问题故而引入 FinFET 即鳍式场效应晶体管技术,晶体管从 2D 平面结构进入 3D 鳍式结构在 FinFET 结构中,三个表面被栅极围绕能有效控制泄漏。提高鳍片高度栅极对电流的控制能力更强,可控性的提高使得栅极能够使用更低的电压来切换开关使用更少能量即可以开启 / 关闭。同时電子在三个表面流动增加了流动电子量,进一步提高了性能

行业景气周期下行风险。技术研发及项目进展不及预期风险

行业投资策畧及重点公司推荐

全球半导体行业市场规模持续扩张,先进制程领域追随摩尔定律成为重要成长驱动力中长期来看 AI、物联网、5G、新能源汽车等新兴方向有望带来新一轮量价齐升的景气周期。维持行业“强于大市”评级预计 AMD 依托台积电工艺领先优势及高性价比策略有望在 PC 忣服务器端与英特尔争夺市场份额;同时英特尔仍拥有扎实的技术储备,其 10nm 后续进展值得关注建议关注 AMD、台积电、英特尔。鉴于先进制程对于核心芯片的重要意义预计国内针对先进制程研发将有持续的政策支持及资金投入,建议关注国内积极研发先进制程的 IC 制造企业嶊荐中芯国际。

CPU 处理器份额在 PC 与服务器市场持续提升AMD 在 x86 CPU 处理器与显卡 GPU 芯片领域均为行业第二名,2017 年公司营收 53 亿美元相比英特尔的 628 亿美え与英伟达的 97 亿美元营收规模差距明显,2017 年公司净利润 4300 万美元为连续 5 年亏损后首度盈利。2018 前三季度公司营业收入达 50.56 亿美元同比+29.21%,净利潤 2.99 亿美元2017 年 AMD 推出开发近 5 年的 Ryzen 处理器,以及 Radeon Vega 架构 GPU大幅提升产品性能,市场反映良好恰逢英特尔 i7 第八代产品递延,市场预期公司在 2018 年可朢重回 2 成的 PC 处理器市场份额以及近 3 成的独立显卡的市场份额。全球半导体行业市场规模持续扩张先进制程领域追随摩尔定律成为重要荿长驱动力。中长期来看 AI、物联网、5G、新能源汽车等新兴方向有望带来新一轮量价齐升的景气周期维持行业“强于大市”评级。预计 AMD 依託台积电工艺领先优势及高性价比策略有望在 PC 及服务器端与英特尔争夺市场份额;同时英特尔仍拥有扎实的技术储备其 10nm 后续进展值得关紸,建议关注 AMD、台积电、英特尔鉴于先进制程对于核心芯片的重要意义,预计国内针对先进制程研发将有持续的政策支持及资金投入建议关注国内积极研发先进制程的 IC 制造企业,推荐中芯国际

近期 GPU 销售环比下滑抵消 CPU 收入增长。公司认为目前渠道的 GPU 库存水位较高,影響相关产品销售环比出现下滑,抵消了处理器产品的增长;2018Q3 公司整体计算与图形业务营收 9.4 亿低于市场预期的 10.5 亿。我们认为公司 GPU 业务表現与下季度指引可能短期降低公司的增长预期

EPYC 处理器突破英特尔垄断服务器局面。服务器领域的企业客户一向以芯片的性能与功耗为主要考量。由于 AMD 过去在高阶产品的布局不足公司市占率由 2006 年的 25%,下滑至 2014 年的 1%以下2017 年,公司推出高阶的服务器处理器霄龙(EPYC)市占率茬 2018 年 Q2 重新回到 1%以上,并持续增长带动整体板块业绩。

高阶产品将由台积电代工或在制程上拉近与英特尔距离。由于 AMD 主要的芯片代工厂格罗方格(GlobalFoundry)决定递延 7nm 制程的开发,公司下一代的 CPU 与 GPU 等产品将由台积电负责代工。由于台积电的制程能力优于格罗方德与英特尔为哃一水准,加之英特尔 10nm 制程料将推延至 2019 年下半年量产届时可望缩小 AMD 与英特尔的产品性能差距。AMD 已于

风险因素:行业景气周期下行英特爾加大竞争力度,数据中心与深度学习芯片竞争加剧

半导体垂直分工开创者,驱动设计环节与制造分离首创晶圆代工模式,降低芯片業者进入门槛间接催生了无产线(Fabless)的纯设计公司,加速半导体行业演化台积电创始人张忠谋,预见半导体专业分工趋势在 1987 年结合囼湾工研院与半导体巨头飞利浦成立公司;成立以来,坚守代工路线建立客户长期合作;研发与设备投入冠于行业,确保制程技术领先2018 年全球晶圆代工规模估计为 590 亿美元,公司约占 58%份额;营收毛利率约 49%净利率约 34%,盈利能力远高于行业水平

与产业链及学术界积极合作,积累技术优势与产品线覆盖公司与客户紧密合作,共同开发产品与制程技术;并且善用学界人才将实验室研发成果快速转化为实际量产能力。同时逐年扩大资本投入扩大产品线的技术覆盖与产能规模。截止 2018 年公司共有 4 座 12 寸晶圆厂、7 座 8 寸厂、1 座 6 寸厂,总产能超过 1,100 万爿 12 寸晶圆相当;同年资本支出约百亿美元金额远超同业,保持先进制程的领先地位

制程领先,打造大量投入与高阶订单的正向循环截至 2018 年 Q3,仅有台积电、三星、英特尔 3 家晶圆厂具备 10nm 及以下先进制程量产能力,而台积电的代工份额最高相关营收占比达 7%。公司的核心業务为 28nm和32nm哪个好制程良率在 90%以上;10nm 以下的逻辑芯片,公司的开发进度领先对手已于 2018 年量产 7nm,进一步扩大先进制程占比此外,公司的晶圆级及扇出式等先进封装技术成熟适合应用在高阶芯片,推升性能优势与垂直整合空间

数据时代的新兴场景推升半导体需求,晶圆玳工维持增长动能IC Insights 预估,手机、物联网、汽车用芯片 年 CAGR 分别高达 7.8%、13.2%、13.4%;此外,人工智能的计算需求、巨量数据的运算处理也将大幅驱動高性能计算发展提升高端芯片需求。预计 年晶圆代工市场 CAGR 为 7.6%2021 将增长至 721 亿美元,公司将持续受益受益于智能手机、加密货币矿机、AI 芯片等终端需求,公司 2018 年前三季度营收达 7417 亿新台币(+5.98%)创历史新高。

风险因素:终端需求增速减缓下游新兴应用需求不及预期,产能擴张、新技术研发不及预期

全球 CPU 龙头,业务向数据中心物联网转型英特尔公司是全球最大的 x86 架构 CPU 制造商,成立于 1968 年具有 50 年产品创新囷引领计算机硬件市场的历史。近年来随着 PC 市场、移动终端市场渐于饱和,公司基于主业积极谋求战略转型将业务拓展至数据中心、粅联网、人工智能等领域。公司 2017 年营业收入 / 归母净利润分别为 627.61 亿美元 /99.85 亿美元毛利率 / 净利率分别为 62.25%/15.30%。公司 2018 年前三季度营业收入 521.91 亿美元其Φ PC 板块收入 271.82 亿美元,占比 52%数据中心板块收入 169.22 亿美元,占比 32%物联网及非易失性存储事业部收入分别占比 5%、6%。受益于全球 PC 市场的复苏2018Q3 PC 板塊实现收入 102 亿美元,同比+16%;受益于云厂商资本支出的持续高增长2018Q3 数据中心相关收入 61 亿美元,同比+26%其中来自云厂商收入同比+50%;Mobileye 当季收入 1.91 億美元,同比+50%增长势头强劲。

14nm 产能紧张短期影响毛利10nm 先进工艺数度推迟。全球 PC 市场出货量连续 6 年下滑后于 2018Q2 首度出现复苏、云服务厂商資本支出持续高增长等因素导致 CPU 市场需求旺盛;另一方面英特尔为 iPhone 独家供应基带芯片挤占部分 14nm 产能。公司在电话会议中表示2018Q4 产能仍将維持紧约束,公司目前已将部分 10nm 设备移至 14nm 产线并策略性优先生产高端 PC 处理器、服务器处理器,降低低端 PC、IOT 相关芯片生产优先级同时预計 IOTG 收入 Q4 环比 -15%。10nm 设备折旧增加、以及存储芯片、基带收入占比提升等预计将影响 Q4 毛利率水平英特尔 10nm 工艺晶体管密度与台积电、三星两家采鼡 EUV 设备的 7nm 工艺晶体管密度同级,公司再次强调 10nm 工艺正按预期进展并将在 2019 年底前实现批量发货。

布局新型高性能芯片发力深度学习、机器视觉领域。传统 CPU 在深度学习、神经网络服务器等性能表现不佳2017 年,英特尔推出至强 Xeon Phi 新型芯片可处理深度学习专有的某些指令,无需集成外部处理器(避免使分析速度放缓)为机器学习模型训练提供强劲性能。2015 年 Intel 以 167 亿美元收购 FPGA 厂商 AlteraFPGA 并非 AI 落地的最主要芯片形式,目前铨球市场约 50 亿美元Intel 正开发统一的接口,试图将 Altera 的 FPGA 与 Intel 至强系列处理器封装到一颗芯片相对传统处理器、独立 FPGA 而言,预计新型一体化芯片朂初性能将提升 30%-50%最终性能将提升至 2-3 倍。2016 年英特尔收购计算机视觉开发商 Movidius其 VPUs(视觉处理器, Vision Processing Units)优化了计算机视觉神经网络技术被用于 Google、大疆、联想等公司,为无人驾驶飞机、安全摄像头、AR/VR 等智能设备提供视觉功能预计未来 Movidius 计算机视觉技术将与 Intel ADAS 高级驾驶助手系统深度交融,加速 Intel 车联网及无人驾驶项目的推进

风险因素:公司短期产能扩张不及预期,10nm 工艺进展不及预期全球 PC 市场、云厂商资本支出增速减緩,市场竞争持续加剧

中国大陆晶圆代工龙头企业,成熟制程增长稳定先进制程突破在即。中芯国际耕耘晶圆代工 18 年铸就中国第一、全球第五大晶圆代工厂。2017 年收入 31.1 亿美元、净利润 1.8 亿美元、毛利率 23.89%、净利率 4.06%公司 12 英寸及 8 英寸晶圆产能均为国内第一,技术横跨 0.35um 至 28nm和32nm哪个恏为国内首家提供 28nm和32nm哪个好 制程代工企业,随着梁孟松亲自督军研发、厂房建设顺利14nm 预计 2019H2 量产。我们预计在先进制程与成熟制程并举戰略指引下公司将持续实现跨越式成长。

对应公司最大下游应用电源管理、指纹识别、图像传感器近期受 8 英寸代工价格景气利好,产能利用率提升65/55nm 对应射频芯片、NOR Flash、MCU 等应用,40/45nm 对应逻辑处理器、射频芯片、NAND Flash 等应用公司拥有高通、博通、安森美、FPC、华为海思、兆易创新、格科微等长期合作的优质客户,产品线多样驱动营收稳定增长。

持续资本投入下中芯国际有望缩小与全球一线大厂差距。中芯国际為全球第四大纯晶圆代工厂前三分别为台积电、格罗方德、联电。公司 2017 在盈利能力、资本开支已经赶超联电(毛利率 24%>18%、资本开支 24.9 亿>14.9 亿美え)2018 年公司继续投入 20 亿美元资本支出,其中 12 亿美元投入北京、上海 12 英寸厂先进制程以及深圳、天津 8 英寸厂产能扩充4 亿美元用于研发设備,公司 Q1 已预订一台 ASML 顶级 EUV 光刻机价值 1.2 亿美元。随着持续高资本支出扩张产能及研发投入公司与一线大厂的营收规模及技术水平正在持續缩小。

HPC+工艺有望开拓部分智能手机、数字电视机顶盒及物联网应用市场,14nm FinFET 目前是全球第三顶级制程可用于中高端智能手机、AI、矿机、射频应用,可解决制程进步带来的应用下移跟上行业步伐,保持公司持续竞争力

版权声明:本网站转载的所有的文章、图片、音频視频文件等资料的版权归版权所有人所有,本站采用的非本站原创文章及图片等内容无法一一联系确认版权者如果本网所选内容的文章莋者及编辑认为其作品不宜公开自由传播,或不应无偿使用请及时通过电子邮件或电话通知我们,以迅速采取适当措施避免给双方造荿不必要的经济损失。

原标题:台湾地区与大陆半导体產业详细对比!

2018年从异域孤军到“高级佣兵”

两个大时代,西进中国大陆的中国台湾地区半导体人命运却大不同。2000年初期250位联电系統内的菁英,在当时最有接班相的“联电太子”徐建华领军到苏州盖和舰建厂,但遭中国台湾地区调查、起诉他们的上市配股梦也跟著破灭。

这群人如当年国共内战后遗落泰缅边界的异域孤军。中国大陆不重视中国台湾地区公司无安排去处。事隔十多年中美贸易戰下、中国“全面大炼芯”拼搏半导体产业,一切风雨变色不仅沉寂多年的和舰顺势翻身,计划在中国大陆A股上市新一代中国台湾地區高级佣兵也出现了。他们是烧热“中国芯”炉火的核心部分却象征中国台湾地区含金量最高、最后一批关键人才的出走。

2018年6月对中國台湾地区别具意义。

一手打造晶圆代工传奇的台积电创办人张忠谋退休象征一个时代结束。

同时中美陷入新冷战,半导体业成两强對弈重点中国台湾地区人才被中国大陆大量挖角。就连联电中国大陆子公司和舰也为防止人才一再流失到中国大陆其他新晶圆厂,及充实资本在6月29日宣布计划于A股上市。

《商业周刊》在此关键时刻走访中国大陆最受瞩目的半导体聚落:上海、合肥、武汉与南京。采訪才开始消息就不断传来……

来自台积电与三星的研发大将梁孟松,可能让中芯明年再制程追赶上联电甚至将超越!他被中国大陆最具规模的晶圆代工厂中芯国际挖角后,只用三季就把其14nm制程试产良率,从个位数升到逾九成

中国台湾地区人士用三季,就达到中芯过詓十八年做不到的成就;用两年半让中国大陆停滞近20年的存储器产业,从零到一

这群中国台湾地区人士乍看风光,但许多人却自述:洎己是在美、中、台三方枪口下淘金的高级佣兵他们夹在美、中以关税和禁售制裁的枪林弹雨中,后方还有中国台湾地区前东家以泄露营业秘密等法规猎杀。

这群曾经的“竹科新贵”如今为何甘负骂名也要出走中国大陆?他们的西进将对两岸带来什么改变以下是我們的追踪记录。

中国大陆最成熟半导体聚落——上海

西进不只带机票还有存证信函,“这边60个人全收到了”

我们的第一站是上海。这裏是中国大陆最成熟、产值最高的半导体聚落包括中芯、当年(2000年)台塑二代王文洋投资成立的宏力半导体,联发科的中国大陆对手展訊都将总部设于此。

2016年上海半导体产值破人民币千亿元,约占全中国大陆半导体产值23%是中国大陆最大的半导体基地。

物理距离上仩海离台北仅有约一个半小时航程。但近两年到上海的半导体人,他们跟中国台湾地区的心里距离却是终生不再回台任职的准备。

他們的脑袋牵动上百亿利益去向

一位在中国大陆晶圆代工厂任职近十年的中国台湾地区人士告诉我,如今大家西进中国大陆除了机票,還有另外一个文件相伴:前东家寄出来的存证信函

因为,大家脑中的硅智财(IP)动辄牵动数十亿元的利益,有可能颠覆一间公司甚臸一个产业的命运。

“这边60个人全收到了(存证信函)全收到了!”在张江科技园区的一间咖啡厅里,一名登陆近十年的受访者刻意压低音量用录音笔几乎收不到的气息对我说。这批人登陆后都被警告不得泄露营业秘密,“他们家里都打电话问怎么会发生这种事?怎么会接到存证信函”

他口中的60人,是去年初传出位于上海的华力微电子挖角联电研发28nm和32nm哪个好制程的团队,虽然联电否认但这已昰上海半导体圈心照不宣的秘密。

突破28nm和32nm哪个好制程可能带来多少利益?

以联电为例其去年28nm和32nm哪个好制程订单,就贡献营收至少逾新囼币220亿元而台积电的28nm和32nm哪个好即使已量产7年,去年仍占其应收23%约当于2250亿元进账。

另外一个最新的案例是记忆体厂南亚科技6月22日宣布,控告一位离职的工程师涉嫌窃取营业秘密到中国大陆任职。南亚科认定如果对手使用该技术,可能获得超过新台币38亿的利益相当於南亚科今年第一季近五成净利。

正因为这群佣兵动辄牵涉数十亿、上百亿利益,并让中国大陆加速威胁中国台湾地区半导体业因此嘚背负中国台湾地区各界人士不谅解的眼光。

拼高薪舞台面对同胞“好像叛国”

一位已离开中国大陆的半导体人,被前东家控告四项罪洺在法庭上,“法官的态度就只差没有指着我说:你对不起台湾”另一位在中心任职的中国台湾地区人士也回忆,他回母校跟学弟学妹分享中国大陆工作经验时“好几个学弟问的问题,会觉得你好像叛国。”

能完全同理这群台湾佣兵们心情的是来自韩国的半导体囚。韩国人的民族主义更浓更不能容忍“背叛”,几乎到中国大陆的韩国半导体业人才都已经做好不再回家的打算。

根据每年经手上百名中高阶半导体猎头案的智理管理顾问公司与半导体业内人士推估,目前约有两千位中国台湾地区半导体人在中国大陆企业任职另外并有一千人在中国大陆公司设于中国台湾地区的据点工作。

这三千人多数是高阶经理人或研发人员,以全中国台湾地区半导体业研发囚员共43300人来看约占6%-7%。

高薪与舞台是吸引这群人到中国大陆的关键之一。

中国大陆这么迫切需要他们因为中国大陆是全球最大的芯片消费市场,每年进口芯片金额超过原油然而,过去十多年中国大陆网络公司轻资本、赚快钱的思维,让他们之前看待半导体产业是認为这是“傻子做的事”,因为这产业动辄得投资上百亿美元、一个技术得等三到五年才问世

现在,中美贸易战开打人才与技术缺口,更明确的被凸显

傍晚五点多,我们看着中芯国际门口出现大量下班人流我好奇,这一次中国大陆砸入人民币千亿元、从中国台湾地區与世界各国引进人才他就真会如张忠谋所述:将进步得非常快吗?

最被看好的大炼芯基地——合肥

技术被学走仍要去周边包商:“Φ国大陆半导体像唐僧肉,全球都想吃一口”

一早八点,我们从合肥西南方的市郊前往四十分钟车程外初期投入近新台币2500亿元兴建的存储器厂合肥睿力(长鑫)。

这座由合肥市政府出资的厂是去年华亚科与南亚科五百位被中国大陆挖角工程师最主要去处。其执行长王寧国出生南京但在中国台湾地区成长,华亚科退休的资深副总经理刘大维也在此工作

每一张晶圆厂设计图,都得上缴

这座占地六万平方公尺、比台积电厂房都还大的晶圆厂其核心工程,如无压室、机电、废水与气体回收等等都由中国台湾地区包商承担。

专营半导体廠废水处理的兆联实业中国大陆区总经理周志铭指着大家在晶圆厂旁盖起的一栋栋工务所说,这里就个小台湾村所有叫的出名字的中國台湾地区半导体工程商,例如:帆宣、亚翔、汉唐等公司都来了

中国大陆如今是“全民大炼芯”,包括天气冷冽不适合晶圆厂运作嘚吉林,或四川省自贡市等无产业群聚效应的内陆城市都想盖晶圆厂。其实不只中国台湾地区人士三星、美光、英特尔等厂商也早已插旗。估计中国大陆现在至少有四十座晶圆厂正在运作

两位受访者对我说:政策力挺下,中国大陆半导体就像块唐僧肉不只中国台湾哋区,全球的厂商都想吃上一口

当各国的企业与佣兵都聚拢到中国大陆这座舞台,确实正催化中国半导体快速发展日前IC设计和存储器領域,几乎已和中国台湾地区打成平手

企业前来中国大陆参与“全民大炼芯”盛世,其实都清楚技术会被学走的代价

当地台商透露,茬中国大陆做工程师都得以审核工程安全为名,上缴一份设计图给官方“图样都要给官方盖章,所有图都会被看到很多人会藏,但伱就算藏他(中国大陆)还是可以从中学到一些东西。”

厂商不只为利益更为了防守而来。因为中国大陆可能为力拱自制芯片而补貼中国大陆供应链,尽可能减少对外采购担任半导体工程公司顾问的环宇财富合伙人洪炳宏说,“你不赌就没有赢的机会……”

富贵險中求。懂“分寸”成为佣兵们必要的生存术

佣兵生存术:当免洗筷、少点意见

一位曾在中芯服务逾十年的中国大陆高阶主管说,他的原则是不参与国家项目、公司内要盖章负责的事情他不碰,“来这边你就是佣兵不要太有意见……,要负行政责任的中国台湾地区囚士还是比较敏感。”

也不只一位受访者说:“要把自己当免洗筷不要想(在中国大陆)做到退休。”

这群半导体人很清楚自己脑中的技术有赏味期限不少人设定在中国大陆的职涯就是五年、七年。因为在中国大陆若不能持续进步贡献完在中国台湾地区所学,约满后下场只有接受降薪。资遣或像下楼梯,再继续往更次等的中国大陆公司移动继续卖自己原本会的技术,成为半导体游牧民族

当中媄关系越趋敏感,中国台湾地区佣兵们如同行走在钢索上一位台商举例,近两年合肥睿力从华亚科大量挖角后部分工程师带枪投靠,涉嫌泄露营业秘密去年糟美光提告,中国大陆方已经暂停雇佣跟给薪要被控告的工程师解决官司诉讼后,再复职

合肥让我看到,当夶量资金与人才齐聚中国大陆后它正在为“全民大炼芯”添加薪火。然而当中国大陆加速前行时,这是否代表中国台湾地区半导体业囚才流失只是开端……?

对抗美日韩的存储器重镇——武汉

我一下飞机公司电话就来了:“从这一刻开始,你是党的财产”

我们进叺武汉,跟上海相比这里的工作节奏明显加快,受访者比喻:“这里就像90年代的竹科”

这里像外商,一成员工是外国面孔

武汉是中國大陆对抗美、日、韩的存储器国家队——长江存储之基地。在机场可以见到许多日本人,多半是来支援该公司的协力厂商

这个新兴嘚中国大陆存储器基地,目前有约3000名员工其中一成来自世界各国,不只中国台湾地区更有日、韩、新加坡与美国的佣兵。

一位曾经在此任职的中国台湾地区中高层主管说这里与其说是中国大陆公司,更像外商每周固定召开的主管例会。与会20个人中有八成的人母语鈈是中文,“都要用英文开会而且在这里,什么人都看得到连坂本幸雄(已破产的日本存储器厂尔必达前社长)也来过。”

身为国家隊在此工作的格局也不同。台积电近几年每年平均约110亿至120亿美元的资本支出,已经是全球罕见但长江存储所做的规划,却是五年880亿媄元

在资本为后盾、愿景为燃料的催化下,该名中高层主管举例它曾要求部署做一张全球供应链地图,在中国台湾地区这可能需要婲一星期整理,但他在中国大陆交办后隔天,这张地图就挂在办公室上“现在这边的年轻人很有中国芯情怀,他觉得自己在做一件很驕傲的事完成民族大业。”

格局与压力是相伴的该中高层主管回忆,自己当初一落地就接到公司人资的电话,对他说:“你从这一刻开始就是党的财产,不能乱说话、去不该去的地方”

每天他们都在跟时间赛跑,“如果做不出来我们佣兵拿了钱就走,但赵伟国(紫光集团董事长)这些人可能要被抓去关耶!”因此和成熟的上海聚落不同在这里,加班到午夜是常态就像分红费用化实施前的竹科。

中国台湾地区在停滞四、五十岁的人很彷徨

其实,我们访问的这群经理人来中国大陆并不只为了钱,还因为中国台湾地区发展已經停滞

“在中国台湾地区半导体业,四十五道五十岁的这些人很彷徨,大部分公司没有在扩充……你可能五十岁当部门经理,就当┅辈子啊!”一位台籍经理人表示

以制造端来看,联电上次在中国台湾地区有新厂动工已经是六年前力晶、旺宏更都已是八年到十年鉯上的事情。在设计端以中国台湾地区前三天大IC设计公司联发科、瑞旻和联咏而言,十年来平均每位员工贡献的获利都大幅衰退。但聯发科十年就衰退92%。

一边在衰退一边在蓬勃。一直仰人鼻息的中国台湾地区存储器业员工感受更深刻。这次中国开打大家希望能囿机会跟老大三星与美光大干一场!“给我十年,我很有机会把技术跟产品达到世界水准”该名经理人说。

我们问“你认为人才来中國大陆的情况会持续下去?”大家的回答是:未来五年情况只会加剧,但却不会无限制的延续下去因为五年、七年后,当中国本土人財备齐也就不再仰赖佣兵了。

当人才根基松动接下来的中国台湾地区,该怎么办

台积电12寸晶圆厂落脚处——南京

两年前,这里黄土┅片……今年中国台湾地区半导体恐被超越

最后一站,我们到了中国大陆最受瞩目的半导体新聚落南京这是台积电在中国大陆的第一座12寸晶圆厂。

两、三年前台积电南京厂所在的浦口特区还是黄土一片,如今不仅盖起一栋栋的高楼台积电新厂的圆形建筑体,就像一個发光的太阳吸引上下游约两百家厂商进驻,从IC设计、设备商到化学原料等等应有尽有。

两百家上下游厂跟着来像种下种子

里昂证券半导体产业分析师侯明孝预估,四年内中国大陆市场占台积电营收很可能超过量程,将是台积电重要的成长引擎之一

台积电南京厂對中国大陆半导体产业而言,就像一颗种子目前,南京厂导入了生产的16nm制程领先中国大陆其余数十座晶圆厂,中国政府期待人才与技术能从台积电外溢,加速中国大陆企业升级从一座厂,扩散成一百家供应商再扩散成一千个、一万个人才。

在台积电建厂后全球許多供应商也跟着移动到南京,来自荷兰的半导体光刻机设备商ASML便是一例

ASML办公室24位成员中,有6位来自中国台湾地区负责主管该办公室嘚区域经理黄群恩从中国台湾地区外派,自认不像佣兵但他外派这两年的重要任务,除了服务好台积电南京厂便是得带起中国本土的哃事,让他们未来能执掌南京办公室独自服务台积电,而他则功成身退回台

为此,他们也将中国大陆同事送到台南受训有些人甚至┅待就是半年。“中国台湾地区人士真的很敬业”曾在台南受训半年的一位中国大陆员工说。

这是我们第二次拜访浦口特区去年九月采访时,台积电的厂区还在进行收尾工作程门口三三两两的停放着货柜车,将场内需要的机台、设备进场如今这座厂已开始量产。从建厂到量产只花了二十个月,比其他公司至少快上50%

在新冷战时代,美国钳制中国大陆科技的攻势越猛烈中国大陆招揽半导体佣兵西進的力道,也只会越强悍没人挡得了。

二、三线台厂势将加速淘汰 中国台湾地区该如何看待此事?

我们得认知:这虽然不会动摇国本但会加速半导体产业的两极化发展。

若一个产业人才不足人会如漏斗状,先往顶尖公司流动让产业的中后段班面临搅才危机。当大量半导体佣兵西进首当其冲的会是中后段班企业。

未来很可能的情况是:顶尖人才持续留在台积电与联发科等一线公司但二、三线以降的中国台湾地区半导体,会因缺少人才祸水加速被淘汰。

面对未来我们可以对中国大陆更开放。

不只一位半导体业高层主管急切呼籲政府不应干涉中国大陆资本入股中国台湾地区企业,“你如果不把现在手上的东西卖出去换钱,怎么有资本投入新的领域”

但,峩们也可以选择不再只依赖中国大陆而是布局全球。

专长于企业购并的众达国际法律事务所合伙律师黄日灿近期不断疾呼中国台湾地區产业必须:“Go beyond China (走出中国大陆)”,也就是积极分散布局、探索中国大陆以外的市场“我们现在在大陆已经没办法一厢情愿了,你想賴着不走也难这就是个wake up call(警钟)。”

台厂未来:走出中国大陆布局全球

他举例如台厂环球晶圆,近十年透过持续购并欧洲、日本等厂分散布局与营收来源,近几年内销加上美洲与其他地区的销售,已超过五成“环球晶圆现在已经不靠大陆了,完全两样”黄日灿表示。

过去半导体产业为中国台湾地区罩上一层“硅屏障”,意指为了不让全球IC产业断链,各国会努力维系台海安全如今,这层硅屏障在中国大陆半导体崛起下已逐渐薄弱中国台湾地区的半导体产值很可能在今年被中国大陆超越。

一场中美新冷战间接加速两岸半導体竞争的演变。每个时务的改变机会与威胁总会相伴。

我们虽然阻挡不了人才的离开但我们可以去养成更能吸引新人才的沃土,为產业找到新的出路

例如兆联,这两年因承担台积电南京厂工程在中国大陆知名度倍增,现在是“事求人”一直有客户上门探寻。周誌铭一面赚中国大陆财一面想:能不能把在这赚的钱,拿回中国台湾地区研发新技术让自己永远跑得比对手快。中国台湾地区能否哽朝利基的技术深耕?

甚至我们也看到新兴的半导体企业在兴起,他们一开始就用混血式的人才组合与战术逐鹿全球市场,带来惊喜

更苛刻的竟逐,对中国台湾地区不是坏事对人才亦同,它正逼我们清楚正视自己的价值并做出行动,改变

中国大陆突围新切口:粅联网芯片

发展半导体业是一条漫长之路,然而物联网与AI成为中国大陆在IC设计领域,可能玩到超车的施力点

和手机或电脑处理器讲求高效能不同,物联网装置小、电池容量低讲求低功耗,因此不须军用半导体最先进制程但重点是,要有一个服务提供者将所有硬体傳承生态系,然后透过大数据滚动让这些装置满足消费者需求。

中国大陆很习惯这种生态系的打仗发有将人们通讯、购物、支付、游戲等生活面向一把抓的网络巨头,如腾讯、阿里巴巴他们主宰各式数据,可以滚动AI与物联网生态系创造无人商店、智慧家居等更多应鼡。

中国大陆半导体企业的新玩法最明显的例子是比特币矿机厂商比特大陆。他们自制芯片、搭载在挖矿机中销售并结合比特币云端挖矿平台、代管矿机等服务串成生态系。该公司碎步将自己定位成IC设计公司却是台积电去年前十大客户,两个不到四十岁的中国大陆青姩创立公司约五年去年营收便超过新台币750亿元。

鸿海与中国家电大厂隔离也都将携着其在工业物联网、智慧家庭的优势,从应用端逆姠回头发展半导体。

截至去年底中国大陆有1380家IC设计公司,是中国台湾地区的五倍多其中,就产生寒武纪深鉴科技等估值超过十亿媄元的“独角兽”

中国大陆正在将互联网圈用户、养生态的逻辑,复制到半导体产业但目前除比特大陆外,未有显著成绩能否成功?未来三年是关键观察指标

当前战况:中国台湾地区仅晶圆代工和封测大超前……

中国大陆生态系打法猛追 ,两岸半导体实力全解析

中媄冷战让对岸加速发展半导体,也让两岸半导体业竞逐出现新局面

中国台湾地区向来最自豪、为我们罩上一座“硅屏障”的半导体产業,当前与中国大陆的举例究竟如何

以各个次领域,两岸最顶尖的技术相比答案是:平均而言,中国台湾地区仍领先三到五年但除叻晶圆代工与耿策,其他领域差距正快速缩小几乎已无落差。两岸半导体产值更很可能在今年交叉。

晶圆代工:联电明年可能被中芯趕上

《商业周刊》采访曾被《亚元》(Asiamoney)票选为亚太区最佳半导体分析师的前外资分析师陈慧明、里昂证券半导体产业分析师侯明孝、集邦拓璞产业研究院研究经历林建宏及半导体业界人士针对两岸半导体实力差距做出解析。

首先两岸差距最显著的晶圆代工。台积电已於今年量产业界最先进的7nm制程此制程目前仅台积电和三星有能力生产。相较下中国大陆最先进的晶圆代工中芯国际,目前仅能量产落後台积电三个世代的28nm和32nm哪个好制程

但,这不代表中芯能被小觑因为其很可能在明年就会追上昔日的晶圆双雄联电、并开始领先后者。

Φ芯去年十月挖角三星、台积电前研发大将梁孟松担任共同执行长后该公司14nm的试产良率,已快速从3%提升到95%

侯明孝表示,虽然试产良率高不等于未来量产量率高,但在联电已宣布将暂缓14nm以下更先进制程的研发后若明年中芯成功量产14nm制程,等同该公司的技术正式追上联電

值得思考的是,中芯靠着挖角梁孟松与其班底不到三季的时间迅速突破新制程,未来是否也能复制此模式挖角台积电、三星、英特尔等技术领先者的研发团队,持续缩小与对手差距将值得观察。

封测:仅台积电、日月光赢三到五年

中国台湾地区大幅领先的领域還有封装测试。以台积电用于服务苹果等少数客户的“CoWoS”和“InFO”两种技术来看至少领先中国大陆五年,但台积电并非专业封测厂此服務仅提供给其晶圆代工客户。

中国台湾地区在先进封装技术的地位虽稳固但林建宏表示,在封测业先进技术大约只占三成生意,其他七成比的是产能跟良率。目前全球前十大封测代工厂中第三、第六与第七名,都已是中国大陆厂商对台厂造成压力,这也是日月光ゑ着合并矽品的原因之一

目前,中国台湾地区多数对封测厂与中国的实力几乎无差距因此近两年,矽品和南茂陆续将中国子公司予紫咣集团入股用股权巩固合作关系,换区市场未来中国台湾地区多数对封测厂仅能在产能、良率与客户关系上,与中国大陆较量

材料與设备:中国大陆设备业务追过中国台湾地区

在半导体材料与设备领域,中国台湾地区最显著领先的便是近两年因缺货、涨价而带动股價飙涨的硅晶圆。

侯明孝认为目前台厂中唯一能供应12寸硅晶圆的环球晶圆,至少领先中国大陆三年8寸硅晶圆也领先中国大陆两年,但其他领域便没有那么乐观“自从汉微科卖掉后,中国台湾地区基本上没有人做半导体前段制程设备中国大陆有刻意扶植本土设备商,Φ国台湾没有其实硬要讲,中国大陆领先中国台湾地区”

林建宏也认为,中国台湾地区半导体设备产业几乎无研发生产整台设备,“虽然中国大陆也才刚起步不过,十年、二十年后也许会出来(只追赶至欧美厂商水准)但中国台湾地区不会。”

记忆体:中国大陆方强攻新技术占上风

中国台湾地区的记忆体产业自2009年由政府主导合并的“中国台湾地区记忆体公司”破局后,追赶先进制程的脚步转向保守以获利为重。原本制程最先进的华亚科则在2016年底被美光收购,成为其子公司

林建宏表示,如果今年底或明年由华亚科前董事長高启全主导的长江存储,或合肥市政府投资的合肥睿力(长鑫)量产后两岸在记忆体领域便无差距,中国大陆甚至因做出中国台湾地區从未生产出的快闪记忆体将领先中国台湾地区。

虽然中国大陆的记忆体很可能因涉嫌侵犯美光等公司的硅智财,未来仅能在中国大陸销售对台厂的营运行动有限,但就技术而言中国台湾地区已败下阵来。

IC设计:中国大陆破口在AI、物联网

最后这是中国台湾很可能被超车的IC设计。以两岸技术最领先的联发科和华为子公司海思相比侯明孝认为两者已无差距。

同时中国台湾地区IC设计厂商更面临两大挑战,首先是中国大陆不管品质只问是否“量产”的进口替代政策。

日前传出中国大陆官方要求面板厂京东方得采用至少五成的国产媔板驱动IC,即使中国台湾地区公司如联永其技术实力仍胜过中国大陆,也无法对抗打着国族主义的政策大旗

第二个挑战,则是中国大陸 IC设计公司在AI、物联网等领域用“共筑生态系统”、“卖软体多过硬体”的新战法崛起、并已经养出独角兽。

“趋势就是你一定要往苼态系走,而不是供应链供应链(的时代)就到苹果为止了。”近两年频繁往返两岸的陈明慧比较例如PC时代,中国台湾地区IC设计对口嘚OEM、ODM厂再由客户对接到惠普、戴尔等品牌厂,并不真正理解终端市场与客户需求

虽然,中国大陆多数新崛起的IC设计公司硬底子的IC设計能力不如中国台湾地区,但因靠近阿里巴巴、腾讯、百度、华为等巨头构筑起的生态系贴近客户,能更理解生态系中有哪些缺口未被滿足因而有机会崛起。简而言之中国大陆IC设计公司现在更“接地气”。

未来三到五年除了晶圆代工和尖端封测外,我们会看见中国夶陆在各个半导体次领域逐渐追上但,中国台湾地区还不到认输的时刻这一个月之中,我们也走进南港、新竹看见中国台湾地区半導体业新出的战法。中国台湾地区的半导体业前方并非死路只是夹在中美大国之间的我们,得打一场比以往更灵活、更难的仗

未来战畧 中美对峙、两岸紧张,如何通吃商机

IC设计拼再起 先学组“混血游击队”

当前,是属于半导体业的大时代包括AI、物联网、5G等趋势,将為半导体产业带来数百亿美元商机

但当下,我们却看见许多中国台湾地区半导体企业陷入泥淖如今年第一季,联发科每股盈余跌出台廠前十名:联詠面临中国大陆喊出采购逾50%国产面板驱动IC的威胁;瑞旻的每位员工平均贡献获利与十年相比下滑41%。

企业定位要“无国界”!

网络通讯在三地登记管理因地制宜

AI芯片的成功,需要与网络巨头合作需要大数据喂养,贴近终端应用市场错过行动网络发展的中國台湾地区,时代看起来不站在我们这边但幸好与此同时,我们也在南港、新竹看见有台厂突围的例子以下是这些企业的突围心法:

AI噺创公司耐能,是中国台湾地区少见的IC新创公司该公司今年五月刚完成最新一轮1800万美元的融资,由香港巨贾李嘉诚旗下的维港投资领头投资人包括过去《商业周刊》报道的“硅谷台湾帮”如直播平台Twich创办人林士斌、Presence Capital合伙人陈信生;先前的投资者,更包括阿里巴巴、高通等国际一线企业

耐能从第一天开始,就是以美国研发融合中国台湾地区半导体经验,去服务中国客户在三地都布局人才,比例均衡“美国有创造力,中国台湾地区最熟悉半导体制造但中国大陆有市场,”创办人既董事长刘峻诚表示既然如此,为何不各取所长

“无国界”在当前中美新冷战、两岸情势紧张的时刻下,对以中国大陆为主要市场的企业而言格外重要。

与耐能相同创业开始就结合媄、台资源,瞄准中国大陆市场的物联网芯片新创公司网联通讯其执行长林明幼从小留美,硕士毕业后一路在美国高通、博通等半导体公司工作他便坦言,自己一度对公司该如何“认同”、“标榜”自己感到困惑。

林明幼自述常在参展时,中国大陆厂商对他说:“伱们技术这么强应该要称自己是美国公司,才有溢价有想象空间。”然而若他将自己定位为美国公司,其困境是很难有机会会在Φ国大陆市场被收购,甚至若贸易战恶化产品可能卖不到中国大陆。但若当中国公司虽可享税务、采购、投片等辅助。但挑战是团隊可能不愿全移动到中国大陆,或落地后人才会加速被挖角。

最后他决定定位自己的企业,是一个“无国界”的国际企业在三地都登记。

一创业就混血非常考验管理难度。林明幼说每个地区的员工其实要的不同,激励方式须因地制宜如中国台湾地区人士要生活笁作平衡,中国大陆员工要配股美国员工把进新创当跳板、申请居留证,因该公司多数在美国的员工为非美籍人士

林明幼说,在局势動荡的时候越是小公司,越是得当灵活的游击队“世界很混乱的时候,才有我们的机会”

耐能董座住客户宿舍半年,拿到大单

其次还得趴在地上,才能真正接地气

耐能的核心技术,是让各种智慧装置“变聪明”的AI芯片他们最新的产品,是跟其投资人奇景光电合莋打造如同iPhoneX上3D感测镜头的平价版,安装在提款机、机械手臂等装置让装置拥有3D视觉。未来大家靠“刷脸”就能提款或让机械手臂在苼产线上直接筛出有细微瑕疵的不良品。其现有客户包括中国大陆市值前三大的网络巨头、中国家电龙头等厂商

耐能主要的团队成员来洎美国与中国台湾地区,却能在中国大陆市场存活刘峻诚说,重点是蹲得够低贴近你的客户。

耐能目前最重要的大客户是一间中国镓电龙头,目前光靠该公司的订单就能打平其整年的营运成本。

曾经他不理解为什么做一个消费性电子产品,客户的验收标准却定的莫名的高例如:手机镜头要能重复开关上万次,要在雨天也能使用等于是他花了半年时间,每天就住在客户的员工宿舍跟员工一起吃饭,跟工人打球抓到机会就聊天,理解对方的想法

他终于知道,原来做家电出身的客户是用十年保固思维,在制作消费性电子产品“如果不了解客户的需求,跟他所要求的极限你的产品没有办法打动他的心。”

前外资分析师陈慧明认为像耐能这样贴近客户,囸式在AI、物联网时代中IC设计公司最须具备的新技能,“(AI芯片公司)寒武纪的东西中国台湾地区中小型IC设计公司都有能力做出来,问題是你能不能去贴近应用,知道到底要放什么东西在那颗IC里面那才是关键。”

创业初期刘峻诚有一半时间在美国,现在他把四根の三的时间都留给以中国大陆为主的亚洲市场,他说:“市场在哪里我就在哪里。”

“小公司要当游击队世界很混乱的时候,才有我們的机会”网联通讯执行长林幼明

力成通吃美日中客户,净利大增21%

最后在利基市场做到透,你才有游走的筹码老公司如力成,就在這点上很贯彻

去年,同业矽品与南茂的大本营衰退与此同时,力成却交出营收大增23%净利成长21%的好成绩。

这固然跟记忆体去年飙涨的市场有关力成有超过七成营收来自记忆体封测,相较于手机处理器、电源管理芯片、面板驱动IC等产品而言这是个利基市场,全球切入此领域的主要厂商仅约时间,虽然记忆体龙头美光、三星等公司有自建封测产能但仍不足以支应其需求,须外包部分订单给记忆体封測厂而力成是当中规模最大者。

“(力成的)战略跟战术当然值得参考!”众达国际法律事务所合伙律师黄日灿认为,力成固守着记憶体封测这个利基领域能够避免跟中国大陆专营一般IC封测的江苏长电、天水华天等厂商正面迎战。

同时未让中国大陆入股也让力成不會被贴上标签,能更自由的游走在美光、东芝等阵营之间“力成没跟大陆(记忆体)要上来也还要一段时间,力成现在立于不败之地”一位让紫光入股的封测厂主管表示。

当然力成后续也面临风险,若未来紫光集团长江存储崛起同时紫光又已借南茂和矽品建置记忆體封测产能,将不须与力成合作恐使后者错失中国大陆市场。

未来各国关税与非关税壁垒严重的逆贸易时代,无论中国大陆或其他国镓都会需要透过更多企业或佣兵,去加速其产业发展、弭平因贸易壁垒所带来的限制在一个保护主义更严重的时代,只有抓住一个利基市场用更跨越疆界的方式定义自己,才能在这紊乱的时代生存、茁壮

业界在光刻、材料、器件、系统囮设计多方面不断引入新技术在半导体领域过去发展中各方面新技术不断导入,例如65nm引入Ge strained沟道、45nm引入high-k值绝缘层/金属栅极(HKMG)、32nm第二代high-k绝緣层/金属栅工艺等从22nm开始采用FinFET(鳍式场效应晶体管)等。未来预计可以看到从7nm节点开始EUV全面取代DUV光刻5nm节点GAA 结构或成为主流,Co、SiGe、Ru、III-V族等新材料引入3nm后引入立体结构设计等新变化。由此也可以预见研发投入及资本开支不断高涨先进制程成为强者游戏。

英特尔10nm进展不顺后段多重图案曝光是主因

多重图案化(Multi-patterning)使晶圆厂得以在现有光刻技术下继续提高光刻分辨率。光刻机分辨率所受影响因素如公式CD=k1*λ/NA所礻其中CD是可达到的最小临界尺寸,代表光刻机的分辨率;k1是多个与工艺相关参数的集合系数;λ是光波长(ArF DUV对应193nmEUV对应13nm);NA是光刻机数徝孔径。先进光刻机为了提高分辨率会尽量减小k1,增大数值孔径并选用更短波长。下图展示了各节点与k1参数对应关系横轴为特征尺団,纵轴为k1参数黄线代表193nm波长的ArF浸没式光刻机,最右侧蓝线代表13nm波长的EUV光刻机在IC设计不进行妥协的情况下,k1参数最小为0.6左右在EDA软件嘚解析度增强技术(RET)及光学临近效应修正技术(OPC)辅助下,k1值最小可降至0.2左右对应节点大约为30nm。如进行更小尺寸光刻OPC修正图案会重疊,解决方案是将图形分为两个独立的光罩即采用多重图案曝光。采用多重图案化技术后k1值可以降至0.2以下,进一步提高光刻分辨率

茬7nm节点出现两种技术路径,既可以采用193nm波长+SAQP四重图案化达到所需分辨率也可采用EUV+单次图案化。下图黄线中红点处即代表采用193i浸没式光刻機+SAQP四重图案技术对应英特尔所选择的技术路线;7nm在蓝线中蓝色区域代表采用EUV光刻机单次图案化,代表台积电和三星所选择的技术路线茬之后的5nm节点,193i光刻机技术难度更大采用EUV双重图案化是较为合理的选择。

英特尔10nm节点一再推迟:后段采用多重四图案曝光(SAQP)良率较低鈳能是主要原因英特尔在10nm节点尽管特征尺寸等同于台积电、三星7nm节点,但未采用波长13nm的极紫外(EUV)光刻技术而是继续采用波长193nm的深紫外(DUV)结合多重曝光进行光刻。英特尔10nm项目开始较早原计划2016量产,当时EUV尚未成熟故英特尔选择了SAQP的技术路径,但研发过程中遭遇困难导致10nm一再推迟。横向对比三家厂商前后段曝光技术我们发现前段工艺基本相似,鳍片形成均采用了多重四图案曝光(SAQP)栅极形成均采用了多重双图案曝光(SADP),contact层形成采用了LE(光照-刻蚀)、LELE、LELELE、甚至LELELELE的方法三星采用了EUV方法。在后段金属层形成方面三家厂商技术路线囿明显区别台积电7nm采用多重双图案曝光(SADP)、三星采用EUV方法,而英特尔选择了多重四图案曝光(SAQP)的方法由于后段M1金属层是光刻中尺団最小的瓶颈,实质上较SADP大幅增加了难度同时英特尔还在Contact层和M1金属层首次采用了金属Co。在此方法下最小金属间距可以达到36nm,等同于三煋采用EUV方法达到的效果并明显小于台积电SADP方法达到的40nm。换言之英特尔运用后段的SAQP方法,实现了同EUV一样的效果但良率目前仅能达到50%~60%,鈈满足大规模量产要求导致英特尔10nm量产时间一再推迟。

从成本角度考量193i多重图案化在某些场景仍然是最为经济的选择。根据东京电子測算的不同曝光工艺标准化晶圆成本EUV单次曝光的成本是193i(DUV)单次曝光的4倍,而193i 四重图案曝光SAQP是3倍EUV单次曝光技术的晶圆成本高于自对准㈣图案曝光(193i SAQP)。采用193i SAQP仍然具有成本优势

EUV仍是7nm节点之后必然趋势

近两年内来看(年),7nm节点后光刻技术从DUV转至EUV设备价值剧增。当前使鼡的沉浸式光刻技术波长193nm(DUV深紫外光),而当进行7nm以下节点制造时就需采用波长13nm的EUV光刻机根据ASML公布的路线图,EUV光刻机首先于2018年在7nm及以丅逻辑芯片开始应用在EUV设备制造过程中,由于EUV波长仅13nm没有合适介质进行精准折射,因而所有光路设计均采用反射的形式设计更加复雜,对精度要求极高制造难度极大。全球只有ASML生产的 NXE3400B是唯一支持7nm及5nm的EUV光刻机单台机器价值约1.17亿美元。

台积电拥有EUV设备最多为ASML最大客戶,三星次之EUV设备作为7nm以下制程必备工艺设备,对厂商最新制程量产具有至关重要的作用由于对精度要求极高,台积电与ASML在研发上有楿关技术配合台积电与三星是ASML前两大订购客户。对于中国大陆厂商来说并不存在“瓦森纳协议”限制向中国出口最先进EUV光刻机的情况。中芯国际目前已从ASML预定1台EUV光刻机这对于中芯国际未来发展7nm以下技术具有积极意义。

英特尔7nm采用EUV双重曝光技术已有提前布局仍有望按原定计划量产。尽管193i更为经济EUV仍是未来更先进制程不可或缺的工具。英特尔在Fab42工厂已有布局EUV计划用于7nm及以下节点,由于英特尔7nm节点不洅面临SAQP四重曝光技术难题而是EUV双重曝光,有望重回正轨按原定计划2020年量产

继20年前铜取代铝后,钴(Co)成为新一轮芯片金属层材料变革核心伴随着晶体管体积的持续缩小,芯片中的金属接触及互连结构需变得更加纤细由此带来两方面的挑战:一是减少电路的电阻,从洏保证芯片较高运算速度;二是防止出现金属原子扩散以及金属原子电迁移从而提高芯片耐用性。目前芯片内主流的金属材料铜和钨已經沿用了20年1997年IBM首次实现将芯片内金属材料由当时的行业标准铝互连换为铜互连,使得导电电阻下降40%、耐用性提高了100倍迎来了集成电路銅制程时代。尽管相比铝具有低电阻、高耐用特点铜、钨材料仍具有其局限:为防止铜、钨的扩散效应及电迁移并增加与绝缘层的附着仂,生产中需首先沉积一层障壁层(Barrier)及衬垫层(Liner)当特征尺寸微缩至10纳米左右时将没有金属层的容纳空间,衬层及障壁层的厚度成了笁艺微缩瓶颈此外,铜的晶界散射很严重影响了纳米级导线的电阻。正由于现有材料的局限性钴作为新一代金属层材料开始运用。

特征尺寸在10nm以下时钴(Co)较铜、钨更具优势:由于钴的晶界散射比铜小,在特征尺寸10nm以下时钴Co的电阻比铜更小特征尺寸在10nm左右时,若采用钨接触(Contact)金属层容纳空间主要被障壁层及衬垫层占据,实际金属层厚度将变为0;若采用钴接触其障壁层仅 4 纳米,而实际金属层仍有 6 纳米使用钴则可以使接触孔的特征尺寸达到5nm以下。

三家公司均采用少量钴作为衬层英特尔率先扩大至内连接线中整体采用。英特爾、台积电、三星均开始在关键金属层的衬层(Liner)和帽层(Cap)采用了钴材料此前衬层采用的材料为钽/氮化钽(Ta/TaN),转换到钴/氮化钽(Co/TaN)能够改善电子迁移以及铜的扩散效应采用钴帽层同样能够改善电子迁移。英特尔更进一步在10nm节点的M0及M1互连层金属内连接中完全采用钴金屬填充主要由于在内连接间距缩小而铜的电阻较高的情况下,钴成为低电阻的替代方案英特尔10nm制程的金属间距为36nm,而台积电7nm制程金属間距为40nm或尚无此需求;三星金属间距同为36nm或也将运用钴金属内连接方案。

根据国际半导体技术发展路线图(ITRS)的后续机构国际元件及系統技术路线图(IRDS)2017年报告2024年后芯片面积缩小的速度将明显放缓,转向垂直型晶体管或立体结构发展过去50多年来行业主要依靠微细化CMOS尺団,来提高芯片和计算系统性能的发展途径但根据IRDS预计到2024年后半导体技术将开辟不依赖CMOS微细化的新途径,主要利用垂直方向纳米线晶体管(VGAA)或3D叠层的方式(3D

中短期来看(年)节点进行到7nm之后开始尝试平面纳米线/GAA技术,大致在5nm节点、3nm节点大规模应用根据IRDS 2017报告,在5nm和3nm节點主要采用“LGAA”即“Lateral Gate-All-Around(横向环绕式栅极)”或称作“nanowire(纳米线)”的方法使得栅极(Gate)四面包裹沟道,实现对电流的有效控制减少因柵极长度/沟道长度进一步缩小带来的漏电问题。在3nm节点之后将横向GAA结构转化为纵向GAA结构以进一步提高晶体管密度。

工艺提升对于CPU性能提升影响明显工艺提升带来的作用有频率提升以及架构优化两个方面。一方面工艺的提升与频率紧密相连,使得芯片主频得以提升(详見附录);另一方面工艺提升带来晶体管规模的提升从而支持更加复杂的微架构或核心,带来架构的提升根据CPU DB的数据,可以看出在芯爿发展历史上工艺提升显著带来了频率提升和架构提升的作用。随着制程节点进步可以发现频率随工艺增长的斜率已经减缓,由于登德尔缩放定律的失效以及随之而来的散热问题单纯持续提高CPU时钟频率变得不再现实,厂商也逐渐转而向低频多核架构的研究

Ryzen系列CPU在单線程性能方面弱于同价位Intel CPU,但由于采用了堆积更多核心的设计实际多线程性能强于同价位Intel CPU,因而AMD Ryzen CPU实际拥有更高性价比

目前服务器市场渶特尔占据约99%市场、AMD约1%;桌面级市场英特尔约占91%、AMD约9%。AMD在CPU市场长期位于市场第二近几年来市场份额有显著下降。但自2017年AMD发布Ryzen新系列之后新品获得较好反响,市场份额开始好转2018年AMD服务器CPU市场份额已回升超过1%,个人电脑CPU市场份额亦回升至10%左右

AMD加持台积电后,工艺水平赶超英特尔有望持续扩张市场份额。近期AMD宣布在7nm节点采用台积电工艺其长期合作的代工厂GlobalFoundries放弃7nm研发。一方面由此可见赛道壁垒持续提高,GlobalFoundries7nm技术进展已无法满足AMD需求台积电在有限的未来先进工艺代工中难以看到对手。另一方面CPU已经进入Fabless + Foundry阶段,英特尔与AMD之间的竞争实质仩变为英特尔作为IDM与台积电代工工艺的竞争由于台积电2018年Q2已量产7nm工艺,AMD有望从2019年上半年逐渐出货7nm CPU产品而英特尔10nm预计2019下半年量产,实际絀货恐延后至2020年AMD有望工艺领先Intel一年左右,未来一年内在服务器端和个人电脑端AMD有望持续扩张市场份额预计AMD与台积电双双获益。


先进工藝晶圆趋势推动晶圆代工行业技术、设备、资金壁垒不断增加。(1)技术壁垒:光刻技术、新材料和新工艺、新结构、工艺误差、工艺集成技术挑战不断增加(2)设备壁垒:在先进制程中,EUV光刻机的生产难度和成本都非常大导致ASML的EUV全年出货仅12台,今年可望增加至20台現累积订单约27台,其中有5台已被台积电预订费款高达5.5亿美元。(3)资金壁垒根据IC

制程领先具有正反馈效果,技术差距逐步拉大持续穩定增长的下游市场使得纯晶圆代工行业面临的竞争逻辑单纯,只需重点关注生产过程(如何提高良率、降低成本)因此纯晶圆代工厂鈳以尽可能地做更多的资本开支,提高生产效率实现规模经济,随着时间推移资本和技术壁垒就越来越坚实在下游市场出现革命性变革之前,新进入者靠自身资源实现赶超的可能性就越来越小以台积电为例,在形成了规模化生产以及先进制程的领先后追赶者与之的差距只会越来越大。2000 年之初中国台湾晶圆代工领域还是“双雄”(另外一家是台联电)但随着台积电率先研发出 0.13 微米制程,联电研发落後此后联电再未赶上台积电。2017 年台积电在全球晶圆代工领域市占率57.8%联电排名第 3,但仅有 8.5%

资本开支高企,未来保持强者恒强趋势从資本开支角度,2017年台积电Capex高达109亿美元远高于其他代工厂,能够与之相竞争的晶圆厂仅有三星(242亿美元)和英特尔(132亿美元)晶圆代工領域有极强的技术投入正反馈效应:持续的技术投入带来先进制程优势,先进制程优势带来持续的订单收入与利润持续的订单得以支撑未来更大规模的技术投入。

经济性决定应用与节点匹配

先进制程设备折旧成本高昂随制程进展成本逐渐提高。以台积电及联电为例其荿本结构中折旧费用占比接近50%,设备折旧在成本中占比最大越先进的制程其设备进行折旧的年限越少,且由于技术先进其资本支出的金額更大反映到成本相对更高。除设备折旧以外更先进的制程涉及到专利使用费可能性更高(成本占比约30%),且采用的直接、间接材料荿本更高(成本占比约7%)同样带来先进制程成本的提高。因而芯片设计厂商在选择制程时需要考虑对应其应用领域,性能与成本的关系选择合适的制程达到性能与成本的平衡点。

40nm、55nm节点包括物联网WiFi/蓝牙芯片等应用一次投片的费用极高,节点停留时间长锐迪科、上海乐鑫等国内厂商WiFi、蓝牙芯片产品主要采用55nm及40nm打造,节点停留时间长达5至6年主要原因在于流片成本较高,转移制程动力不足对于40nm来说,一次流片成本在40-60万美元级别14nm则需要200-300万美元左右,流片费主要为制作掩膜的费用由于更高节点流片成本、设计成本均较高,在需求未發生根本性变化情况下制程转移的动力较弱这些芯片本身大多运用在中低端领域,对于芯片性能没有大幅提升的要求因而制程转移动仂较弱。

28nm和32nm哪个好主芯片逐渐流向更低制程需求尚待上层节点转移填补。28nm和32nm哪个好目前多包含AP主芯片等性能性芯片随着市场对于主芯爿性能要求的提升,这些芯片的制程会跟随需求逐渐向下流动以保持核心竞争力。随着主芯片由28nm和32nm哪个好向下转移而其他芯片40nm、55nm向下轉移不足,28nm和32nm哪个好当前部分或出现需求断层、晶圆代工厂产能过剩情况

浅析长效节点:28nm和32nm哪个好当前具备成本优势

在设计成本不断上升的情况下,较少的代工厂客户可以负担得起转向高级节点根据Gartner的数据,16nm / 14nm芯片的平均IC设计成本约为8000万美元而28nm和32nm哪个好平面器件则约为3000萬美元,设计7nm芯片需要2.71亿美元而根据IBS的数据,28nm和32nm哪个好平面器件的设计成本大致在5130万美元而7nm芯片需要2.98亿美元。对于多数客户而言转姠16nm / 14nm的FinFET较为昂贵。

就单位芯片成本而言28nm和32nm哪个好优势明显,将保持较长生命周期方面,相较于40nm及更落后制程28nm和32nm哪个好工艺在频率调節、功耗控制、散热管理和尺寸压缩方面具有显著的优势。另一方面由于20nm及更先进制程采用FinFET技术,维持高参数良率以及低缺陷密度难度加大每个逻辑闸的成本高于28nm和32nm哪个好制程。虽然高端市场会被7nm、10nm以及14nm/16nm工艺占据但40nm、28nm和32nm哪个好等并不会退出,28nm和32nm哪个好–16nm工艺现在仍然昰台积电的营收主力中芯国际保持制程阶梯的连续性,持续提高28nm和32nm哪个好良率极为重要预期28nm和32nm哪个好将在较长时间保持强需求工艺节點地位。

28nm和32nm哪个好需求结构由逻辑向特殊工艺演变市场需求稳定。2015年至2016年28nm和32nm哪个好工艺主要应用在手机应用处理器及基带,同时机頂盒和数字电视等市场需求不断兴起,2019年至2020年28nm和32nm哪个好工艺将渗透到混合信号产品和ISP芯片领域不断涌现的新应用将促进28nm和32nm哪个好工艺保歭较长的时间窗口。根据IBS估算2014年全球28nm和32nm哪个好晶圆需求291万片,预计2018年将增至430万片2024年将缓减至351万片。

中芯国际:成熟制程贡献业绩先進制程将临突破

先进制程即将突破,成熟制程、特色工艺收入贡献大(1)公司28nm和32nm哪个好技术于4Q13推出,包括PolySiON、HKMG制程28nm和32nm哪个好技术的收入貢献从2016年的1.6%增至2017年的8.0%,2018Q3略降至7.1%第二代HKMG,即HKC+预计于2019年H1量产有望拉动28nm和32nm哪个好收入回升。此外公司近期14nm FinFET已开始导入客户,预计于2019年下半姩量产未来中芯国际会在保持盈利能力的前提下持续提升先进制程。(2)成熟技术节点和特殊工艺平台上公司大力强化高性价比的产品,包括电源管理芯片PMIC、图像传感器CIS、嵌入式非易失性存储eNVM(eEEPROM、eFlash、MTP、OTP等)、微机电系统MEMS、射频RF、LCD driver等

公司40nm至0.35μm制程技术成熟,2018Q3营收占比达92.9%是公司最主要的盈利来源。12英寸成熟制程方面应用处理器、视频处理芯片、WiFi蓝牙芯片为主要应用其中40nm制程营收占比约18.7%,主要来自逻辑、射频、NAND55/65nm营收占比约21.0%,主要来自逻辑、MCU、射频、NOR、eFlash等8英寸方面PMIC、指纹识别、图像传感器为主要推动力。其中0.15/0.18μm营收占比最大约39.5%,对應电源管理芯片、指纹识别芯片、图像传感器需求0.11/0.13μm营收占比约8.7%,主要来自CIS、嵌入式存储公司主要客户包括高通、华为、博通、FPC、格科微、兆易创新等。

预计28nm和32nm哪个好 HKC+工艺2019H1正式量产当前28nm和32nm哪个好营收占比不高,2017年贡献7.9%2018Q3下滑至7.1%。主要原因在于中芯国际当前28nm和32nm哪个好以較为低端的PolySion工艺为主HKMG产能及良率尚不高,同时制程向下迁移28nm和32nm哪个好全球产能目前处于过剩状况。28nm和32nm哪个好 HKMG的升级工艺HKC+对标台积电28nm囷32nm哪个好 HPC+,为28nm和32nm哪个好最后一个版本将显著提升性能、降低功耗,有望开拓部分智能手机及物联网应用市场

14nm预计将于2019年H2量产,应用品類“三步走”策略打开公司成长空间。14nm需解决FinFET技术问题是下一步进入10nm以及7nm的关键节点。根据1Q18电话会议14nm量产主要经历三个阶段,第一階段是成本>ASP第二季度成本与ASP相抵,第三阶段成本

制程工艺的进步可以提高芯片性能具体来讲包括三个方面的影响:规模增大、频率提高、功耗下降。规模主要对应工艺指标主要包括晶体管密度、栅极间距(Gate pitch)、最小金属间距(Minimum

晶体管密度(TransistorDensity)提高可以扩大芯片的晶体管规模,增加并行工作的单元或核心或者缩小芯片面积,提高良率并降低单位成本晶体管密度指单位面积上的晶体管数量,晶体管数量是集成电路复杂性的最常见测量指标根据摩尔定律总结,集成电路上可容纳的晶体管数目约每隔两年便会增加一倍在单个芯片尺寸鈈变的情况下,晶体管密度相应提高一倍整体晶体管规模增大使得芯片可以进行更多的并行工作,并且增加了IC设计的空间衡量晶体管密度有多种计算方式,例如传统的采用“栅极间距×最小金属间距”或者“栅极间距×逻辑单元高度”以及英特尔公司制程架构与集成总監Mark Bohr于2017年提出的逻辑晶体管密度公式,即“晶体管数量/平方毫米=0.6×NAND2晶体管数量/ NAND2单元面积+0.4×扫描触发器(SFF)晶体管数量/扫描触发器单元面积”传统公式没有说明设计库中不同类型的逻辑单元及这些指标量化相对于上一代的相对密度,Mark Bohr提出的公式区分了典型设计中小单元和大单え的权重比例更加标准化且易于比较。

Length)越小可使芯片的频率提高或者功耗下降。栅极长度缩小(或者沟道长度缩小)使得源极与漏極之间距离缩小电子仅需流动较短的距离就能够运行,从而可以增加晶体管开关切换频率提升芯片工作频率;另一方面,栅极长度缩尛、电子流动距离减小可以减低内阻降低所需导通电压,芯片工作电压降低由于动态功耗P=C*V^2*f,功耗与电压的平方、频率成正比在相同笁作频率下电压下降带来功耗降低。

芯片频率的提高与功耗下降两个目标此消彼长不可兼得。晶体管的功耗包括静态功耗及动态功耗两蔀分静态功耗是电路稳定时的功耗,即常规的电压乘电流而动态功耗指电容充放电功耗和短路功耗,即晶体管在做1和0的相互转换时会根据转换频率的高低产生不同大小的功耗根据登德尔缩放比例定律(罗伯特·登纳德与1974年提出),晶体管面积的缩小使得其所消耗的电壓以及电流会以差不多相同的比例缩小即如果晶体管的大小减半,该晶体管的静态功耗将会降至四分之一(电压电流同时减半)在产業初期根据登纳德缩放比例,设计者可以大大地提高芯片的时钟频率因为提高频率所带来的更多的动态功耗会和减小的静态功耗相抵消。大概在2005年之后漏电现象的出现打破了原先登纳德所提出的定律,使得晶体管在往更小工艺制作时候的静态功耗不减反增同时也带来叻很大的热能转换,使得芯片的散热成为了急需解决的问题因而芯片已无法继续在增加频率的同时降低总体功耗,根据动态功耗P=C*V^2*f可以得絀频率提高与功耗下降两个目标的关系是此消彼长的,需要根据芯片设计可以在两者之间寻求平衡

FinFET结构中提高鳍片高度(Fin Height),可以减尐漏电的发生进一步提高性能或降低功耗。在栅极长度(或沟道长度)缩小到一定程度后量子隧穿效应就会变得极为容易,会产生较夶的电流泄漏问题故而引入FinFET即鳍式场效应晶体管技术,晶体管从2D平面结构进入3D鳍式结构在FinFET结构中,三个表面被栅极围绕能有效控制泄漏。提高鳍片高度栅极对电流的控制能力更强,可控性的提高使得栅极能够使用更低的电压来切换开关使用更少能量即可以开启/关閉。同时电子在三个表面流动增加了流动电子量,进一步提高了性能

行业景气周期下行风险。技术研发及项目进展不及预期风险

全浗半导体行业市场规模持续扩张,先进制程领域追随摩尔定律成为重要成长驱动力中长期来看AI、物联网、5G、新能源汽车等新兴方向有望帶来新一轮量价齐升的景气周期。维持行业“强于大市”评级预计AMD依托台积电工艺领先优势及高性价比策略有望在PC及服务器端与英特尔爭夺市场份额;同时英特尔仍拥有扎实的技术储备,其10nm后续进展值得关注建议关注AMD、台积电、英特尔。鉴于先进制程对于核心芯片的重偠意义预计国内针对先进制程研发将有持续的政策支持及资金投入,建议关注国内积极研发先进制程的IC制造企业推荐中芯国际。


CPU处理器份额在PC与服务器市场持续提升AMD在x86 CPU处理器与显卡GPU芯片领域均为行业第二名,2017年公司营收53亿美元相比英特尔的628亿美元与英伟达的97亿美元營收规模差距明显,2017年公司净利润4300万美元为连续5年亏损后首度盈利。2018前三季度公司营业收入达50.56亿美元同比+29.21%,净利润2.99亿美元2017年AMD推出开發近5年的Ryzen处理器,以及Radeon Vega架构GPU大幅提升产品性能,市场反映良好恰逢英特尔i7第八代产品递延,市场预期公司在2018年可望重回2成的PC处理器市場份额以及近3成的独立显卡的市场份额。全球半导体行业市场规模持续扩张先进制程领域追随摩尔定律成为重要成长驱动力。中长期來看AI、物联网、5G、新能源汽车等新兴方向有望带来新一轮量价齐升的景气周期维持行业“强于大市”评级。预计AMD依托台积电工艺领先优勢及高性价比策略有望在PC及服务器端与英特尔争夺市场份额;同时英特尔仍拥有扎实的技术储备其10nm后续进展值得关注,建议关注AMD、台积電、英特尔鉴于先进制程对于核心芯片的重要意义,预计国内针对先进制程研发将有持续的政策支持及资金投入建议关注国内积极研發先进制程的IC制造企业,推荐中芯国际

近期GPU销售环比下滑抵消CPU收入增长。公司认为目前渠道的GPU库存水位较高,影响相关产品销售环仳出现下滑,抵消了处理器产品的增长;2018Q3公司整体计算与图形业务营收9.4亿低于市场预期的10.5亿。我们认为公司GPU业务表现与下季度指引可能短期降低公司的增长预期

EPYC处理器突破英特尔垄断服务器局面。服务器领域的企业客户一向以芯片的性能与功耗为主要考量。由于AMD过去茬高阶产品的布局不足公司市占率由2006年的25%,下滑至2014年的1%以下2017年,公司推出高阶的服务器处理器霄龙(EPYC)市占率在2018年Q2重新回到1%以上,並持续增长带动整体板块业绩。

高阶产品将由台积电代工或在制程上拉近与英特尔距离。由于AMD主要的芯片代工厂格罗方格(GlobalFoundry)决定遞延7nm制程的开发,公司下一代的CPU与GPU 等产品将由台积电负责代工。由于台积电的制程能力优于格罗方德与英特尔为同一水准,加之英特爾10nm制程料将推延至2019年下半年量产届时可望缩小AMD与英特尔的产品性能差距。AMD已于2018年11月亮相两款基于台积电7nm工艺的CPU/GPU产品分别为“Rome”和“Vega 20”。Rome基于AMD Zen 2架构将用在第二代EPYC霄龙处理器上,而Vega

风险因素:行业景气周期下行英特尔加大竞争力度,数据中心与深度学习芯片竞争加剧

半导体垂直分工开创者,驱动设计环节与制造分离首创晶圆代工模式,降低芯片业者进入门槛间接催生了无产线(Fabless)的纯设计公司,加速半导体行业演化台积电创始人张忠谋,预见半导体专业分工趋势在1987年结合台湾工研院与半导体巨头飞利浦成立公司;成立以来,堅守代工路线建立客户长期合作;研发与设备投入冠于行业,确保制程技术领先2018年全球晶圆代工规模估计为590亿美元,公司约占58%份额;營收毛利率约49%净利率约34%,盈利能力远高于行业水平

与产业链及学术界积极合作,积累技术优势与产品线覆盖公司与客户紧密合作,囲同开发产品与制程技术;并且善用学界人才将实验室研发成果快速转化为实际量产能力。同时逐年扩大资本投入扩大产品线的技术覆盖与产能规模。截止2018年公司共有4座12寸晶圆厂、7座8寸厂、1座6寸厂,总产能超过1,100万片12寸晶圆相当;同年资本支出约百亿美元金额远超同業,保持先进制程的领先地位

制程领先,打造大量投入与高阶订单的正向循环截至2018年Q3,仅有台积电、三星、英特尔3家晶圆厂具备10nm及鉯下先进制程量产能力,而台积电的代工份额最高相关营收占比达7%。公司的核心业务为28nm和32nm哪个好制程良率在90%以上;10nm以下的逻辑芯片,公司的开发进度领先对手已于2018年量产7nm,进一步扩大先进制程占比此外,公司的晶圆级及扇出式等先进封装技术成熟适合应用在高阶芯片,推升性能优势与垂直整合空间

数据时代的新兴场景推升半导体需求,晶圆代工维持增长动能IC Insights预估,手机、物联网、汽车用芯片年CAGR分别高达7.8%、13.2%、13.4%;此外,人工智能的计算需求、巨量数据的运算处理也将大幅驱动高性能计算发展提升高端芯片需求。预计年晶圆代笁市场CAGR为7.6%2021将增长至721亿美元,公司将持续受益受益于智能手机、加密货币矿机、AI芯片等终端需求,公司2018年前三季度营收达7417亿新台币(+5.98%)创历史新高。

风险因素:终端需求增速减缓下游新兴应用需求不及预期,产能扩张、新技术研发不及预期

全球CPU龙头,业务向数据中惢物联网转型英特尔公司是全球最大的x86架构CPU制造商,成立于1968年具有50年产品创新和引领计算机硬件市场的历史。近年来随着PC市场、移動终端市场渐于饱和,公司基于主业积极谋求战略转型将业务拓展至数据中心、物联网、人工智能等领域。公司2017年营业收入/归母净利润汾别为627.61亿美元/99.85亿美元毛利率/净利率分别为62.25%/15.30%。公司2018年前三季度营业收入521.91亿美元其中PC板块收入271.82亿美元,占比52%数据中心板块收入169.22亿美元,占比32%物联网及非易失性存储事业部收入分别占比5%、6%。受益于全球PC市场的复苏2018Q3 PC板块实现收入102亿美元,同比+16%;受益于云厂商资本支出的持續高增长2018Q3数据中心相关收入61亿美元,同比+26%其中来自云厂商收入同比+50%;Mobileye当季收入1.91亿美元,同比+50%增长势头强劲。

14nm产能紧张短期影响毛利10nm先进工艺数度推迟。全球PC市场出货量连续6年下滑后于2018Q2首度出现复苏、云服务厂商资本支出持续高增长等因素导致CPU市场需求旺盛;另一方媔英特尔为iPhone独家供应基带芯片挤占部分14nm产能。公司在电话会议中表示2018Q4产能仍将维持紧约束,公司目前已将部分10nm设备移至14nm产线并策略性优先生产高端PC处理器、服务器处理器,降低低端PC、IOT相关芯片生产优先级同时预计IOTG收入Q4环比-15%。10nm设备折旧增加、以及存储芯片、基带收入占比提升等预计将影响Q4毛利率水平英特尔10nm工艺晶体管密度与台积电、三星两家采用EUV设备的7nm工艺晶体管密度同级,公司再次强调10nm工艺正按預期进展并将在2019年底前实现批量发货。

布局新型高性能芯片发力深度学习、机器视觉领域。传统CPU在深度学习、神经网络服务器等性能表现不佳2017年,英特尔推出至强Xeon Phi新型芯片可处理深度学习专有的某些指令,无需集成外部处理器(避免使分析速度放缓)为机器学习模型训练提供强劲性能。2015年Intel以167亿美元收购FPGA 厂商AlteraFPGA并非AI落地的最主要芯片形式,目前全球市场约50亿美元Intel正开发统一的接口,试图将Altera的FPGA与Intel至強系列处理器封装到一颗芯片相对传统处理器、独立 FPGA而言,预计新型一体化芯片最初性能将提升30%-50%最终性能将提升至2-3倍。2016年英特尔收购計算机视觉开发商Movidius其VPUs(视觉处理器, Vision Processing Units)优化了计算机视觉神经网络技术被用于Google、大疆、联想等公司,为无人驾驶飞机、安全摄像头、AR/VR等智能设备提供视觉功能预计未来Movidius 计算机视觉技术将与Intel ADAS高级驾驶助手系统深度交融,加速Intel车联网及无人驾驶项目的推进

风险因素:公司短期产能扩张不及预期,10nm工艺进展不及预期全球PC市场、云厂商资本支出增速减缓,市场竞争持续加剧


中国大陆晶圆代工龙头企业,荿熟制程增长稳定先进制程突破在即。中芯国际耕耘晶圆代工18年铸就中国第一、全球第五大晶圆代工厂。2017年收入31.1亿美元、净利润1.8亿美え、毛利率23.89%、净利率4.06%公司12英寸及8英寸晶圆产能均为国内第一,技术横跨0.35um至28nm和32nm哪个好为国内首家提供28nm和32nm哪个好制程代工企业,随着梁孟松亲自督军研发、厂房建设顺利14nm预计2019H2量产。我们预计在先进制程与成熟制程并举战略指引下公司将持续实现跨越式成长。

坐拥国内外優质客户成熟制程产品稳定贡献营收。公司40nm及以上工艺营收占比达91.4%具体来看0.25/0.35μm占比3.8%,0.15/0.18μm占比37.1%0.13μm占比7.6%,90nm占比1.2%55/65nm占比24.2%,40/45nm占比17.5%28nm和32nm哪个好占比8.6%。其中0.15/0.18μm对应公司最大下游应用电源管理、指纹识别、图像传感器近期受8英寸代工价格景气利好,产能利用率提升65/55nm对应射频芯片、NOR Flash、MCU等应用,40/45nm对应逻辑处理器、射频芯片、NAND Flash等应用公司拥有高通、博通、安森美、FPC、华为海思、兆易创新、格科微等长期合作的优质客戶,产品线多样驱动营收稳定增长。

持续资本投入下中芯国际有望缩小与全球一线大厂差距。中芯国际为全球第四大纯晶圆代工厂湔三分别为台积电、格罗方德、联电。公司2017在盈利能力、资本开支已经赶超联电(毛利率24%>18%、资本开支24.9亿>14.9亿美元)2018年公司继续投入20亿美元資本支出,其中12亿美元投入北京、上海12英寸厂先进制程以及深圳、天津8英寸厂产能扩充4亿美元用于研发设备,公司Q1已预订一台ASML顶级EUV光刻機价值1.2亿美元。随着持续高资本支出扩张产能及研发投入公司与一线大厂的营收规模及技术水平正在持续缩小。

先进制程进展顺利28nm囷32nm哪个好HKC+与14nm打开公司发展空间。公司第一代FinFET技术进入客户导入阶段14nm研发取得重大进展。28nm和32nm哪个好HKC+、14nm预计分别于2019H1、2019H2量产28nm和32nm哪个好 HKC+在性能忣功耗控制优于目前已量产的28nm和32nm哪个好 PolySion工艺,对标台积电28nm和32nm哪个好的高端HPC+工艺有望开拓部分智能手机、数字电视机顶盒及物联网应用市場,14nm FinFET目前是全球第三顶级制程可用于中高端智能手机、AI、矿机、射频应用,可解决制程进步带来的应用下移跟上行业步伐,保持公司歭续竞争力

我要回帖

更多关于 28nm和32nm哪个好 的文章

 

随机推荐