Quartus II Warning: Can't find signal in vector source file for input pin |whole|clk10m


文件的读写是有两个文件来实现嘚一个是写入数据,一个读出数据根据代码进行解析吧。
举一个最简单的例子:

这是一个求a+b的和的一个数据测试
调试编译后会生成这樣的一个文件
用记事本打开就会看到数据 然后在用一个代码:

然后得到了
打开后对比一下:
数据是完全对应的这就是最简单的数据读写了。

我要回帖

更多关于 THS II 的文章

 

随机推荐