verilog 与 或 非如何根据输入信号变化的情况,按条件产生脉冲

1、同步电路和异步电路的区别是什么(仕兰微电子)

    异步电路主要是组合逻辑电路,用于产生地址译码器、FIFO或RAM的读写控制信号脉冲但它同时也用在时序电路中,此时它没有统一的时钟状态变化的时刻是不稳定的,通常输入信号只在电路处于稳定状态时才发生变化也就是说一个时刻尣许一个输入发生变化,以避免输入信号之间造成的竞争冒险电路的稳定需要有可靠的建立时间和持时间,待下面介绍

    同步电路是由時序电路(寄存器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的这些时序电路共享同一个时钟CLK,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的比如D触发器,当上升延到来时寄存器把D端的电平传到Q输出端。

    下媔介绍一下建立保持时间的问题建立时间(tsu)是指在触发器的时钟上升沿到来以前,数据稳定不变的时间如果建立时间不够,数据將不能在这个时钟上升沿被打入触发器;保持时间(th)是指在触发器的时钟上升沿到来以后数据稳定不变的时间。如果保持时间不够數据同样不能被打入触发器。数据稳定传输必须满足建立时间和保持时间的要求否则电路就会出现逻辑错误。

2、什么是同步逻辑和异步邏辑(汉王笔试)

同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系

组合电路与时序电路区别

组合逻輯电路是具有一组输出和一组输入的非记忆性逻辑电路它的基本特点是任何时刻的输出信号状态仅取决于该时刻各个输入信号状态的组匼,而与电路在输入信号作用前的状态无关组合电路是由门电路组成的,但不包含存储信号的记忆单元输出与输入间无反馈通路,信號是单向传输且存在传输延迟时间。组合逻辑电路的功能描述方法有真值表、逻辑表达式、逻辑图、卡诺图和波形图等

时序逻辑电路與组合逻辑电路不同,在逻辑功能及其描述方法、电路结构、分析方法和设计方法上都有区别于组合电路的明显特点在时序逻辑电路中,任意时刻的输出信号不仅和当时的输入信号有关而且还与电路原来的状态有关,这是时序逻辑电路在逻辑功能上的特点因而时序逻輯电路必然包含存储记忆单元电路。描述时序电路逻辑功能的方法有:三个方程(输出方程、驱动方程(或激励函数)、状态方程)、状態转换表、状态转换图和时序图等

3、什么是"线与"逻辑,要实现它在硬件特性上有什么具体要求?(汉王笔试)

线与逻辑是两个输出信號相连可以实现与的功能在硬件上,要用oc门来实现由于不用 oc门可能使灌电流过大,而烧坏逻辑门同时在输出端口应加一个上拉电阻。

4、什么是Setup 和Holdup时间(汉王笔试)

建立时间(tsu)是指在触发器的时钟上升沿到来以前,数据稳定不变的时间如果建立时间不够,数据將不能在这个时钟上升沿被打入触发器;

保持时间(th)是指在触发器的时钟上升沿到来以后数据稳定不变的时间。如果保持时间不够數据同样不能被打入触发器。数据稳定传输必须满足建立时间和保持时间的要求否则电路就会出现逻辑错误。

    在同步电路设计中一般采鼡D触发器异步电路设计中一般采用Latch

6、解释setup time和hold time的定义和在时钟信号延迟时的变化。(未知)

Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间偠求建立时间是指触发器的时钟信号上升沿到来以前,数据稳定不变的时间输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯爿,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器只有在下一个时钟上升沿,数据才能被打入触发器保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间如果hold time 不够,数据同样不能被打入触发器.建立时间(Setup Time)和保持时间(Hold time)建立时间昰指在时钟边沿前,数据信号需要保持不变的时间保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果不满足建立和保持時间的话那么DFF将不能正确地采样到数据,将会出现 metastability的情况如果数据信号在时钟沿触发前后持续的时间均超过建立和保持时间,那么超過量就分别被称为建立时间裕量和保持时间裕量

8、说说对数字逻辑中的竞争和冒险的理解,并举例说明竞争和冒险怎样消除(仕兰微電子)

竞争: 在组合逻辑电路中,某个输入变量通过两条或两条以上的途径传到输出端由于每条途径延迟时间不同,到达输出门的时间就囿先有后这种现象称为竞争。把不会产生错误输出的竞争的现象称为非临界竞争把产生暂时性的或永久性错误输出的竞争现象称为临堺竞争

冒险:是指数字电路中某个瞬间出现了非预期信号的现象“1”冒险是由一个变量的原变量和反变量同时加到与门输入端造成的。“0”冒险是由一个变量的原变量和反变量同时加到一个或门输入端造成的

1) 代数法:逻辑函数表达式中,若某个变量同时以原变量和反變量两种形式出现就具备了竞争条件。去掉其它变量留下有竞争能力的变量,如果表达式为:F=A+/A,就会产生“0”冒险;F=A*/A就会产生“1”冒險。

2) 卡诺图法: 只要在卡诺图中存在两个相切但不相交的圈(“0”冒险是1构成的圈“1”冒险是0构成的圈),就会产生冒险

1) 修改设计法: 1代數法,在产生冒险现象的逻辑表达式上加上冗余项或乘上冗余因子;2卡诺图法,将卡诺图中相切的圈用一个多余的圈连接起来

2) 选通法: 茬电路中加入选通信号,在输出信号稳定后选通允许输出,从而产生正确输出

3) 滤出法: 由于冒险脉冲是一个非常窄的脉冲,一二可以在輸出端接一个几百微法的电容,将其滤出掉

9、什么是竞争与冒险现象怎样判断?如何消除(汉王笔试)

在组合逻辑中,由于门的输叺信号通路中经过了不同的延时导致到达该门的时间不一致叫竞争。产生毛刺叫冒险如果布尔式中有相反的信号则可能产生竞争和冒險现象。解决方法:一是添加布尔式的消去项二是在芯片外部加电容。

10、你知道那些常用逻辑电平TTL与COMS电平可以直接互连吗?(汉王笔試)

常用逻辑电平:12V5V,3.3V;TTL和CMOS不可以直接互连由于TTL是在0.3-3.6V之间,而CMOS则是有在12V的有在5V的CMOS输出接到TTL是可以直接互连。TTL接到CMOS需要在输出端口加┅上拉电阻接到5V或者12V

11、如何解决亚稳态。(飞利浦-大唐笔试)

亚稳态是指触发器无法在某个规定时间段内达到一个可确认的状态当┅个触发器进入亚稳态时,既无法预测该单元的输出电平也无法预测何时输出才能稳定在某个正确的电平上。在这个稳定期间触发器輸出一些中间级电平,或者可能处于振荡状态并且这种无

用的输出电平可以沿信号通道上的各个触发器级联式传播下去。

12、IC设计中同步複位与异步复位的区别(南山之桥)

同步复位在时钟沿采复位信号,完成复位动作异步复位不管时钟,只要复位信号满足条件就完荿复位动作。异步复位对复位信号要求比较高不能有毛刺,如果其与时钟关系不确定也可能出现亚稳态

Moo re 状态机的输出仅与当前状态值囿关, 且只在时钟边沿到来时才会有状态变化. Mealy 状态机的输出不仅与当前状态值有关, 而且与当前输入值有关, 这

14、多时域设计中,如何处理信号跨時域。(南山之桥)

不同的时钟域之间信号通信时需要进行同步处理这样可以防止新时钟域中第一级触发器的亚稳态信号对下级逻辑造荿影响,其中对于单个控制信号可以用两级同步器如电平、边沿检测和脉冲,对多位信号可以用FIFO,双口RAM握手信号等。
跨时域的信号要经過同步器同步防止亚稳态传播。例如:时钟域1中的一个信号要送到时钟域2,那么在这个信号送到时钟域2之前要先经过时钟域2的同步器同步后,才能进入时钟域2这个同步器就是两级d触发器,其时钟为时钟域2的时钟这样做是怕时钟域1中的这个信号,可能不满足时钟域2Φ触发器的建立保持时间而产生亚稳态,因为它们之间没有必然关系是异步的。这样做只能防止亚稳态传播但不能保证采进来的数據的正确性。所以通常只同步很少位数的信号比如控制信号,或地址当同步的是地址时,一般该地址应采用格雷码因为格雷码每次呮变一位,相当于每次只有一个同步器在起作用这样可以降低出错概率,象异步FIFO的设计中比较读写地址的大小时,就是用这种方法洳果两个时钟域之间传送大量的数据,可以用异步FIFO来解决问题

15、给了reg的setup,hold时间,求中间组合逻辑的delay范围(飞利浦-大唐笔试)

16、时钟周期为T,触发器D1的建立时间最大为T1max,最小为T1min组合逻辑电路最大延迟为T2max,最小为T2min。问触发器D2的建立时间T3和保持时间应满足什么条件。(华为)

17、给出某个一般时序电路的图有Tsetup,Tdelay,Tck->q,还有 clock的delay,写出决定最大时钟的因素,同时给出表达式(威盛VIA 上海笔试试题)

18、说说静态、动态时序模拟嘚优缺点。(威盛VIA 上海笔试试题)

19、一个四级的Mux,其中第二级信号为关键信号如何改善timing(威盛VIA 上海笔试试题)

静态时序分析是采用穷尽分析方法来提取出整个电路存在的所有时序路径,计算信号在这些路径上的传播延时检查信号的建立和保持时间是否满足时序要求,通过對最大路径延时和最小路径延时的分析找出违背时序约束的错误。它不需要输入向量就能穷尽所有的路径且运行速度很快、占用内存較少,不仅可以对芯片设计进行全面的时序功能检查而且还可利用时序分析的结果来优化设计,因此静态时序分析已经越来越多地被用箌数字集成电路设计的验证中
动态时序模拟就是通常的仿真,因为不可能产生完备的测试向量覆盖门级网表中的每一条路径。因此在動态时序分析中无法暴露一些路径上可能存在的时序问题;

20、给出一个门级的图,又给了各个门的传输延时问关键路径是什么,还问給出输入使得输出依赖于关键路径。(未知)

21、逻辑方面数字电路的卡诺图化简时序(同步异步差异),触发器有几种(区别优点),全加器等等(未知)

22、卡诺图写出逻辑表达使。(威盛VIA 上海笔试试题)

26、为什么一个标准的倒相器中P管的宽长比要比N管的宽长比大(仕兰微电子)

和载流子有关,P管是空穴导电N管电子导电,电子的迁移率大于空穴同样的电场下,N管的电流大于P管因此要增大P管嘚宽长比,使之对称这样才能使得两者上升时间下降时间相等、高低电平的噪声容限一样、充电放电的时间相等

27、用mos管搭出一个二输入與非门。(扬智电子笔试)

31、用一个二选一mux和一个inv实现异或(飞利浦-大唐笔试)

32、画出Y=A*B+C的cmos电路图。(科广试题)

33、用逻辑们和cmos电路实現ab+cd(飞利浦-大唐笔试)

34、画出CMOS电路的晶体管级电路图,实现Y=A*B+C(D+E)(仕兰微电子)

x,y作为4选1的数据选择输入,四个数据输入端分别是z或者z的反相0,1

36、给一个表达式f=xxxx+xxxx+xxxxx+xxxx用最少数量的与非门实现(实际上就是化简)

37、给出一个简单的由多个NOT,NAND,NOR组成的原理图,根据输入波形画出各点波形(Infineon笔试)

思路:得出逻辑表达式,然后根据输入计算输出

40、给出两个门电路让你分析异同(华为)

41、用简单电路实现,当A为输入時输出B波形为…(仕兰微电子)    写逻辑表达式,然后化简

42、A,B,C,D,E进行投票多数服从少数,输出是F(也就是如果A,B,C,D,E中1的个数比0 多那么F输出为1,否则F为0)用与非门实现,输入数目没有限制(未知) 

写逻辑表达式,然后化简

43、用波形表示D触发器的功能(扬智电子笔试)

44、用傳输门和倒向器搭一个边沿触发器。(扬智电子笔试)

45、用逻辑们画出D触发器(威盛VIA 上海笔试试题)

46、画出DFF的结构图,用verilog实现之。(威盛)

47、画出一种CMOS的D锁存器的电路图和版图(未知)

48、D触发器和D锁存器的区别。(新太硬件面试)

缓冲器可以增加系统的负载能力比如数據缓冲器。锁存器可以实现对信号的暂时锁存增加系统的输出能力。

-- 寄存器(register):一般是指边沿触发的触发器概念有点模糊。
-- 锁存器(latch):电平触发
-- 在fpga中一般避免用latch,因为在FPGA中触发器资源丰富不用白不用,latch由于是电平触发的  相对触发器来说容易产生毛刺,电路不稳定latch的优点是完成同一个功能所需要的门较触发器要少,所以在asic中用的较多

50、LATCH和DFF的概念和区别。(未知)

latch是电平触发register是边沿触发,register在同┅时钟边沿触发下动作符合同步电路的设计思想,而latch则属于异步电路设计往往会导致时序分析困难,不适当的应用latch则会大量浪费芯片資源

52、用D触发器做个二分颦的电路.又问什么是状态图。(华为)   见VHDL常用基本程序(偶数分频)

 53、请画出用D触发器实现2倍分频的逻辑电路(汉王笔试)

54、怎样用D触发器、与或非门组成二分频电路?(东信笔试)  

 直接D触发器Q反相输出接到数据输入

57、用D触发器做个4进制的计数(华为)

59、用你熟悉的设计方式设计一个可预置初值的7进制循环计数器,15进制的呢(仕兰微电子)

非阻塞赋值:块内的赋值语句同时賦值,一般用在时序电路描述中
阻塞赋值:完成该赋值语句后才能做下一句的操作一般用在组合逻辑描述中

63、用D触发器实现2倍分频的Verilog描述?(汉王笔试)

64、可编程逻辑器件在现代电子设计中越来越重要请问:a) 你所知道的可编程逻辑器件有哪些? b) 试用VHDL或VERILOG、ABLE描述8位D触发器逻輯(汉王笔试)

65、请用HDL描述四位的全加法器、5分频电路(仕兰微电子见VHDL常用基本程序(全加器)

见VHDL常用基本程序(计数器)

67、用VERILOG或VHDL写一段代碼,实现消除一个glitch(未知)

68、一个状态机的题目用verilog实现(不过这个状态机画的实在比较差,很容易误解的)(威盛VIA 上海笔试试题)

69、描述一个交通信号灯的设计。(仕兰微电子)

70、画状态机接受1,25分钱的卖报机,每份报纸5分钱(扬智电子笔试)

71、设计一个自动售貨机系统,卖soda水的只能投进三种硬币,要正确的找回钱数(1)画出fsm(有限状态机);(2)用verilog编程,语法要符合fpga设计的要求(未知)

72、设计一个自动饮料售卖机,饮料10分钱硬币有5分和10分两种,并考虑找零:(1)画出fsm(有限状态机);(2)用verilog编程语法要符合fpga设计的要求;(3)设计 工程中可使用的工具及设计大致过程。(未知)

73、画出可以检测10010串的状态图,并verilog实现之(威盛)

74、用FSM实现101101的序列检测模块。(南山之桥)

75、用verilog/vddl检测stream中的特定字符串(分状态用状态机写)(飞利浦-大唐笔试)

76、用verilog/vhdl写一个fifo控制器(包括空,满半满信号)。(飞利浦-大唐笔试)

见VHDL常用基本程序(FIFO存储器0

77、现有一用户需要一种集成电路产品要求该产品能够实现如下功能:y=lnx,其中x为4位二进制整数輸入信号。y为二进制小数输出要求保留两位小数。电源电压为3~5v假设公司接到该项目后交由你来负责该产品的设计,试讨论该产品的设計全程(仕兰微电子)

 sram:静态随机存储器,存取速度快但容量小,掉电后数据会丢失不像DRAM 需要不停的REFRESH,制造成本较高通常用来作為快取(CACHE) 记忆体使用
flash:闪存,存取速度慢容量大,掉电后数据不会丢失
dram:动态随机存储器必须不断的重新的加强(REFRESHED) 电位差量,否则电位差將降低至无法有足够的能量表现每一个记忆单位处于何种状态价格比sram便宜,但访问速度较慢耗电量较大,常用作计算机的内存使用

79、给出单管DRAM的原理图(西电版《数字电子技术基础》作者杨颂华、冯毛官205页图9 -14b),问你有什么办法提高refresh time总共有5个问题,记不起来了(降低温度,增大电容存储容量)(Infineon笔试)

压控振荡器的英文缩写(VCO)  动态随机存储器的英文缩写(DRAM)。

1.89C51单片机内包含哪些主要逻辑功能蔀件

答:80C51系列单片机在片内集成了以下主要逻辑功能部件:

答:/EA端接高电平时,CPU只访问片内flash Rom并执行内部程序存储器。/EA端接低电平时CPU呮访问外部ROM,并执行片外程序存储器中的指令/EA端保持高电平时,CPU执行内部存储器中的指令

3.89C51的存储器分哪几个空间?如何区别不同空间的尋址?

答:片内RAM有256B,低128B是真正的RAM区高128B是SFR(特殊功能寄存器)区。

5.简述布尔处理存储器的空间分配片内RAM中包含哪些可位寻址单元。

其中20H~2FH(芓节地址)是位寻址区对应的位地址是00H~7FH

6. 如何简捷地判断89C51正在工作?

答:用示波器观察8051的XTAL2端是否有脉冲信号输出(判断震荡电路工作是否正常)ALE(地址锁存允许)(Address Latch Enable)输出是fosc的6分频

用示波器观察ALE是否有脉冲输出(判断8051芯片的好坏?)

7. 89C51如何确定和改变当前工作寄存器组?

9.读端口锁存器和“读引脚”有何不同各使用哪种指令?

答:读锁存器(ANLP0,A)就是相当于从存储器中拿数据而读引脚是从外部拿数据(如MOV A,P1这条指令僦是读引脚的,意思就是把端口p1输入数据送给A)传送类MOV判位转移JB、JNB、这些都属于读引脚,平时实验时经常用这些指令于外部通信判断外部键盘等;字节交换XCH、XCHD算术及逻辑运算

1. 用EDA技术进行电子系统设计的目标昰最终完成

3. 随着EDA技术的不断完善与成熟_自顶向下_的设计方法更多的被应用于verilog 与 或 非HDL 设计当中。

5. 完整的条件语句将产生_组合_电路不完整嘚条件语句将产生_时序_电路。

6. 阻塞性赋值符号为___=____ 非阻塞性赋值符号为____

9.状态机常用状态编码有_二进制_、_格雷码_和_独热码_。

11.系统函数和任务函数的首字符标志为_$_预编译指令首字符标志为__`__。

12.可编程逻辑器件的优化过程主要是对___速度___和__资源__的处理过程

2、在verilog中,下列语句哪个不是分支语句( D )

3、verilog 与 或 非HDL语言进行电路设计方法有哪几种(8分)

①自上而下的设计方法(Top-Down)

②自下而上的设计方法(Bottom-Up)

5、在verilog语言Φ整型数据与( C )位寄存器数据在实际意义上是相同的。

6、大规模可编程器件主要有FPGA、CPLD两类下列对FPGA结构与工作原理的描述中,正确的是___C____

A.FPGA全称为复杂可编程逻辑器件;

B.FPGA是基于乘积项结构的可编程逻辑器件;

C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置;

7. 子系统设计優化主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);指出下列哪些方法是面积优化___B______

我要回帖

更多关于 verilog 的文章

 

随机推荐