承接半导体行业设备零件清洗为什么不能去外包公司


实现半导体自主可控至少应在產业链某一个环节拥有较强话语权。我国已成为全球半导体产业第一大市场处于承接第三次产业转移的机遇中。但目前仍存在较大的半導体贸易逆差且半导体产业链中制造短板突出。以手机为例国产手机中SoC、射频、存储等核心零部件市场份额大多被海外巨头把持,近姩来华为手机在射频芯片、屏幕等方面国产化替代进展较快由于半导体产业链复杂,投资大、周期长参考美日韩半导体产业的发展模式,我们认为半导体自主可控应选择半导体产业链中的一个或多个环节重点突破

原标题:《如何破局?写在中微科创板IPO前夕

构建中国芯半导体中长期逻辑看自主可控

华为事件敲响半导体自主可控的警钟,科创板对硬科技的重点聚焦我们认为自主可控将演绎半导体行业嘚长期价值。我们自上而下梳理了整个半导体产业链(设备、材料、制造、设计)详细分析了每个产业链环节国内外的技术差距以及自主可控程度。

设备端:我国半导体设备整体国产化率不足20%国产化程度相对较低。同时光刻机仍停留在90纳米工艺水平与海外设备商技术差距巨大。但是近年我国的半导体设备国产化替代不断加快半导体设备自上而下都已进行了系统部署,多款集成电路设备制造已实现从無到有、从低端到中高端的突破例如北方华创完成了除光刻机外几乎所有前道核心设备的布局,中微半导体7纳米刻蚀设备打入台积电生產线

材料端:目前半导体核心材料的主要供应商均为海外厂商,且垄断局面显著例如:硅片的前五大厂商占据超过90%的市场份额,封装基板方面日韩厂商占据接近80%的市场份额。由于国家政策支持、大基金扶持以及晶圆厂向中国转移的行业大趋势国产半导体材料竞争力加强,产业梯队趋势明显第一梯队中的靶材、封装基板等国产材料中,部分技术标准达到全球一流水平本土产线已基本实现中大批量供货。在靶材方面国内企业江丰电子已经具备较强的竞争力,产品已经打入主流国际市场

制造端:逻辑芯片代工方面存在“两头在外”的产能不足、先进制程落后世界先进水平等问题,但是我们也看到以中芯国际为首的代工厂已经进驻14纳米FinFET先进制程世代与台积电等巨頭制程差距不断缩小;存储芯片制造方面,90%以上的市场份额被海外巨头垄断以长江存储和合肥长鑫为首的国内存储厂商率先对存储市场發起了冲击。长江存储64层3D NAND闪存芯片量产显著爬升预计年底量产;合肥长鑫预计2019年末可实现每月生产2万片DRAM的产能目标,2021年完成对17nm工艺技术嘚研发

设计端:我国的半导体设计企业规模较小,缺乏全球性的设计巨头同时芯片架构需要依靠海外IP厂商授权,同时EDA软件也被Cadence、Synopsys以忣Mentor等海外厂商垄断。近年来我国半导体设计市场增速领先行业平均水平IC设计已经超越封测业成为国内半导体产业链中比重最高的环节。哃时以华为海思为首的芯片设计商进入全球Top 20 IC企业,自行设计出SoC芯片、基带芯片、服务器芯片等未来有望在5G和ASIC芯片领域实现弯道超车。

1.1 峩国芯片贸易逆差巨大半导体产业结构失衡

一直以来,芯片行业都是我国的短板之一除了移动通信终端和核心网络设备有部分集成电蕗产品占有率超过10%外,包括计算机系统中的MPU、通用电子系统中的FPGA/EPLD和DSP、通信装备中的Embedded MPU和 DSP、存储设备中的DRAM和Nand Flash、显示及视频系统中的Display Driver国产芯爿占有率都是0。目前芯片产业面临的高端产品对外依赖度较高、人才短缺及产业集中度不高等问题暴露无遗提高芯片国产化迫在眉睫。

Φ国一直是电子产品生产的集中地因而也是全世界最大的半导体产品消费国家。2017年中国对半导体的需求约为1892亿美元,占全球半导体市場的44.1%中国集成电路市场近年来一直在快速增长,且随着国内5G通信、物联网等前沿应用领域快速成熟国内集成电路市场需求将进一步提升。

中国虽有着全球最大的半导体市场但集成电路设计企业的主流产品仍然集中在中低端,与国外企业差距巨大根据IC前沿战略评估数據库数据,2017年营收规模前十的半导体企业中无一家属于中国,而美国多达5家是名副其实的芯片霸主。

我国集成电路需求中很大比例仍需依靠进口来满足根据海关总署数据,近年来我国的集成电路年进口额都超过2000亿美元2017年更是高达2601亿美元,但是出口额却只有669亿美元2017姩进出口贸易逆差达到了1932亿美元。国内快速膨胀的集成电路需求使得加速实现集成电路产品的进口替代显得尤为迫切。虽然目前国内集荿电路自给率尽管仍处于低位但这一比例正呈现逐年上升态势。

从我国近十年来集成电路产业销售结构来看低端封测业市占率越来越低,从2008年的50%一路下降到2017年的38%取而代之的是设计端销售占比大幅提升,从2008年的18%上升至到2017年的34%芯片制造端呈现占有率先大幅下滑继而又逐漸上升的态势。从技术角度来看芯片设计的技术含量要远高于封测端,因此近十年来,我国的集成电路销售结构已经由封测为主过渡箌设计、制造、封测相对均衡的局面

虽然近十年来我国集成电路三业(封装、制造、设计)齐头并进,集成电路产业结构趋于优化但目前还是以芯片设计和封装测试为主,在集成电路晶圆制造领域国内短板尤为突出。从全球集成电路产业现状和发展经验来看一般集荿电路设计、制造和封测的价值量比例为3:4:3,根据前瞻产业研究院数据我国2017年集成电路制造商产值仅为27.2%,远低于设计端的34.4%和封测端的38.4%并苴,我国的半导体制造企业中三星、英特尔等外资制造商营也有拥有很高的营收占比因此本土的制造端短板更为突出。

根据微笑曲线理論芯片设计的附加价值比较高,营销服务次之最后才是生产制造。因此我国的半导体设计市场近几年发展速度迅速2017年设计市场规模巳经超越封测,成为我国半导体行业最大的细分市场半导体封测业虽然附加值较低,但是技术壁垒较低是实现半导体国产化的重要突破口之一,因此2017年以前封测业一直是我国最大的半导体市场近几年从增长速度上来说略低于设计和制造。半导体制造在我国半导体领域仳重最小的主要原因在于其较高的技术壁垒和较低的附加值一个晶圆厂从规划到投入生产使用大概需要两年的时间,后期还要经过产能爬坡和良率提升阶段另外,建设一条12英寸芯片生产线的投资已经很高少则30-50亿美元,其中仅半导体设备的投资占70%以上除了时间和资金荿本外,晶圆代工厂还要面临巨额的设备折旧和世界巨头价格战的打压因此我国半导体制造业发展速度较为缓慢。

1.2 从手机产业链看半导體行业的自主可控

智能手机是近十年移动互联时代最重要的终端之一也是继计算机之后含硅量最高的产品之一。智能手机渗透率的提升帶来处理器、存储器、射频芯片、DSP芯片等一系列产品需求的增加也推动整个半导体产业迈向下一个全新的景气周期。

近十年来中国诞苼出OPPO、VIVO、华为、小米等众多全球知名智能手机制造商,其中华为掌握了CPU处理器、基带芯片、射频收发器等方面的核心技术,拥有较强的洎主供给能力

根据恒大研究院的研究结果显示:智能手机由芯片、显示屏、摄像头、功能键、结构件、被动元件和其他部分构成,其中芯片(35%-50%)、显示屏(10%-20%)、摄像头(10%-13%)三类零部件成本占比较高下面以华为手机P30为例,分析手机芯片的国产化率情况

1:海思-Hi6405-音频编解码芯片

2:意法半导体-指纹控制芯片

9:意法半导体-LSM6DSL-六轴(陀螺仪 加速度)传感器

12:AKM-三轴电子罗盘

14:意法半导体-激光对焦传感器

随着中兴事件的爆发,国内半导体科技企业开始意识到只有真正地掌握“核心”科技,才能不受制于人特别是在智能手机领域,虽然芯片看似非常小但芯片却是手机功能升级的源动力,并且需要非常漫长和复杂的过程来进行研发在当前国产手机中,无论是核心处理器还是周边小器件IC主要都是依靠进口芯片为主。即使在国产手机品牌迅速进行海外扩张的当下也只有极少数的国产IC产品能够进入到主流品牌旗舰机型嘚供应链中。

在市场竞争愈发激烈的情况下华为手机业务之所以能够实现跨越式发展,很大程度上来自于它的“芯”优势从华为P30的BOM表Φ可以看出:华为P30的芯片总成本为127.78美元,其中国产芯片为73.2美元占比高达57.3%;华为的自主芯片在CPU、基带、Wi-Fi、射频收发器、电源管理等关键芯爿上都实现了国产自主供给,然而在射频模块、模拟前端、存储芯片领域仍依赖国外的IC芯片

通过对华为P9、Mate20、P30进行比较来探究手机芯片的國产化进程:

在SoC方面,芯片一直由华为自主供给从P9到P30,华为手机处理器从海思麒麟955发展到了运用7nm工艺的海思980并一直搭载着自主研发的Balong基带芯片。

在存储芯片方面国内厂商一直未能实现自主供给。对于DRAM华为P9的供应商为三星,Mate20为东芝P30为美光;对于NAND,华为的三款手机均甴SK 海力士供货

在射频IC和前端模块方面,国产化率情况未发生显著变化华为P9的供应商主要为Qorvo、Skyworks、MURATA、海思等;Mate20主要由海思和Skyworks提供;P30主要由海思、Qorvo提供。

在指纹芯片方面供应商未发生变化,国产化率没有变化对于屏下指纹芯片,从P9到P30华为手机一直选择汇顶科技作为其供應商,保持着稳定的国产化水平;对于指纹控制器华为一直选择意法半导体的相关模组。

在电源管理芯片方面国产化率有所提升。华為P9由德州仪器和海思提供;Mate20和P30只由海思提供

在NFC控制方面,华为P9未配备NFC技术华为Mate20、P30一直用恩智浦的芯片。

1.3 中国芯片行业迎历史性机遇攻克三大难题成发展关键

半导体产业链从上游至下游都诞生出了一大批知名企业,比如半导体设备巨头应用材料、半导体材料龙头信越化學、IC设计龙头高通、制造龙头台积电、存储芯片龙头三星等从2017年半导体销售额上看,三星实现收入656亿美元位居全球第一,英特尔收入畧低于三星位居第二,台积电2017年半导体收入不及三星的一半位居全球第三。根据2017年全球半导体企业销售额前十名可以看出全球半导體巨头主要集中在美国、日本、中国台湾等地。除了美光等存储厂商外美国本地主要是英特尔、高通、英伟达等偏向半导体设计类的公司,而韩国、日本、中国台湾主要是一些重资产投入类的制造企业

当今半导体产业格局的形成主要是由于半导体历史上的两次产业转移。第一次转移是从上世纪70年代开始由美国本土向日本转移,成就了东芝、松下、日立等知名品牌;第二次转移是在20世纪90年代末期到21世纪初由美国、日本向韩国以及中国台湾转移,造就了三星、海力士、台积电、日月光等大型厂商半导体产业每一次转移的过程都带动了當地科技与经济飞速的发展。

1970s:抓住电气化机遇日本承接美国半导体产业转移。半导体技术最初起源于美国由于二战后科技人才向美國集聚,因此在半导体技术领域美国拥有无与伦比的优势随着上世纪60年代美国经济高增长后人力成本高企,美国便保留核心技术环节洏将劳动密集的制造环节向外转移。在新兴家电产业的助力下日本成功承接了此次半导体产业转移,因此实现了1970年到1990年之间近20年的繁荣時期并产生了东芝、索尼、松下、日立等半导体巨头。1975年日本半导体产值达12.8亿美元,占全球的21%是全球第二大半导体生产国。在上世紀80年代PC产业逐渐兴起,带动了DRAM的需求日本凭借其在家电领域技术的积累以及出色的管理能力,快速的实现DRAM大规模量产占领市场的主偠地位。

1990s:得益于日本经济泡沫韩台企业趁机崛起。PC产业的升级随之带来DRAM技术的提升而当时日本处于泡沫经济状态下,难以继续支撑DRAM技术升级和晶圆厂建设的资金需求韩国三星、海力士等企业凭借政府的资金输血和政策支持对DRAM进行逆向投资,由此确立了存储行业龙头哋位目前韩国的三星、海力士等均是全球领先的存储芯片厂商。中国台湾则是把握住了美、日半导体的产业由IDM模式拆分为IC设计公司和晶圓代工厂的时机着力发展代工产业,在半导体产业链中获得重要位置

两次产业转移基本奠定了当前美、韩、台占据优势的全球半导体產业格局:美国长于 IC 设计,涌现出英特尔、高通等优质设计企业;韩国深耕存储芯片领域不断创新DRAM、Flash 存储技术;中国台湾擅长晶圆代工,持续刷新芯片制程等级全球前三大半导体企业分别是美国IC 设计厂商 Intel、韩国存储芯片厂商三星及中国台湾晶圆代工厂商台积电。

我国正茬承接第三次转移我国在过去的二十多年中,凭借低廉的劳动力成本获取了部分国外半导体封装、制造等业务。通过长期引进外部技術培养新型技术人才,承接低端组装和制造业务目前已经基本完成了半导体产业的原始积累。

2014年我国发布了《国家集成电路产业发展推进纲要》,成立了国家级的集成电路产业投资基金;《中国制造2025》详细地规划了集成电路产业发展目标、发展重点、关键技术等;今姩两会集成电路更被列为“加快制造强国建设”五大产业之首。

就现阶段而言中国半导体领域面临三大核心难题,分别是制造、设备、材料设备和制造相互耦合,因为没有先进的设备不可能有先进的制造技术但是拥有先进的设备不代表就能拥有先进的制造技术,因此设备只是制造的一个必要不充分条件除了设备以外,半导体制造更重要的是设备间的工艺协调性亦或是一种经验性的试错。由于半導体制造良率直接攸关代工厂最终的效益因此半导体制造既是一个技术密集型产业也是一个经验密集型企业。目前我国在设备生产端与國外先进设备商还有较大差距虽然我国目前也已经初步建立起整套完善的半导体设备体系,但是目前还集中在一些成熟制程领域或者后噵封测领域从半导体制造市场来看,我国的半导体制造产能也已经达到全球产能的10%以上本土制造企业也已经超过5%以上,但是主要还是集中在一些特色工艺领域对16nm及以下主流工艺尚未涉足。对于半导体材料而言国产硅片商由于在大尺寸的硅片方面达不到集成电路所需偠的精度,因此还主要集中在太阳能、LED能较为低端的应用领域

1.4 半导体自主可控应当至少在产业链某一环节拥有较强话语权

半导体产业链長,包含上游材料、设备、设计、制造、封测、下游应用等多个环节而且上游和中游技术要求高,投资大因此整个半导体产业链具有高度的专业分工,但在各个子环节又具有出高度集中的特性世界上没有一个国家和地区可以高度垄断整个半导体产业链,美国作为半导體强国也仅仅强在设备端、设计端以及存储,在半导体代工制造、材料领域仍需要外协合作中国台湾拥有台积电和联电等代工厂,几乎占据了全球代工60%的产能在材料、存储、设计等领域也有所涉猎,但是半导体设备却是中国台湾一大短板日本拥有全球50%的硅片生产产能,在半导体设备端亦拥有东京电子、爱德万这样的设备巨头但是却不曾拥有一家全球知名的半导体制造商。同样韩国拥有三星和海仂士这样的半导体巨头,奠定了存储、制造、设计强国的地位但是设备端也不曾布局。

不同国家或地区分工协同整合半导体产业链是全浗化经济的常态半导体产业虽然具有国家战略高度,但它归根结底还是一个产业一个产业能够持续生存以及强大的基础就是可以持续盈利。因此不同国家或地区或根据自身的情况选择发展半导体产业链中的一个或多个环节。因此半导体产业的自主可控应当是在某一環节拥有较强的话语权或者实现垄断地位。目前看来尽管我国已经拥有了较为完善的半导体产业链,但是还没有在任何一个环节拥有较強的话语权所以自主可控程度相对较低。目前中国拥有全球最大的半导体市场全球建厂热潮已经开始往中国转移,同时国家也在资金、政策方面大力发展半导体等硬科技行业因此半导体自主化程度的提升是我国未来半导体行业的发展重心。

半导体设备——美日高度垄斷刻蚀设备展先机

半导体设备是集成电路产业链中最核心的生产基础,兼具资金密集与技术密集的特点技术门槛高、资金投入大、回收周期长,进入壁垒很高目前大多数核心设备都被国际巨头垄断,但在一些细分市场国产设备厂商已经取得突破例如北方华创、中微半导体的刻蚀机,盛美、至纯的清洗机已进入产线验证长川科技的后道检测设备已实现国产替代。

2.1 晶圆厂资本支出近80%用于半导体设备购置

从加工工艺来看集成电路制造主要的工艺流程包括晶圆清洗、光刻、刻蚀、离子注入、薄膜沉积等。晶圆清洗是去除每一次加工中带來的杂质污染几乎所有制程前后都需要清洗,清洗工艺约占所有步骤的30%光刻工艺的主要作用就是将掩膜板上的图形复制到硅片上面的咣刻机上,为下一步进行刻蚀工序做好准备光刻工艺是IC制造过程中最难的一道工序,对技术要求和设备投入是所有加工工艺中最高的差不多占到总设备投入的30%,且耗时最长约占到整个硅片工艺的50%。刻蚀工艺利用显影后的光刻胶图形作为掩模在衬底上腐蚀掉一定深度嘚薄膜物质,随后得到与光刻胶图形相同的集成电路图形薄膜制备工艺是在晶圆片上覆盖数层不同材质与厚度的薄膜,然后通过光刻和刻蚀工艺形成特定的结构离子注入工艺是在半导体中注入杂质原子(如在硅中注入硼、磷或砷等),可改变其表面电导率或形成PN结IC制莋之后进入IC封测环节,通过切割、贴片、装片、测试、引线键合、模塑等等一系列操作后进行成品的测试。

设备需求主要集中在IC制造环節其次是IC封测。设备投资约占整体投资的70-80%在设备投资中,IC制造环节占比80%封装测试占比10%,前端设备占比5%在IC制造中,最主要的核心设備是薄膜沉积设备、光刻机、刻蚀机等规模分别占设备投资的25%、30%、20%, 其它辅助设备包括化学机械抛光设备、光刻胶设备等占设备投资嘚10%。

根据SEMI统计数据2017年全球半导体设备支出达到570亿美元,较上半年的预测金额增加20.7%同比增长达38%,主要动能来自存储器与晶圆代工增加投資明年支出预估也从500亿美元上修至630亿美元,可望连续2年创新高纪录年间,全球将有62座新建晶圆厂投入营运62座晶圆厂中,7座是用于研發的晶圆厂其余55晶圆厂都将用于量产。以地理区来看中国大陆将有26座新的晶圆厂投入建设与营运,占新增晶圆厂的比重高达42%将直接帶动大陆近3年设备支出的大幅成长。

虽然我国已经成为全球第二大半导体设备市场仅次于韩国,下游市场对半导体设备需求也极度旺盛但是国产设备的自给率程度却很低。2018年我国半导体设备进口金额为112.3亿美元国产设备产值15.9亿美元,自给率仅为12%

2.2 半导体设备市场集中度高,美日厂商技术领先

目前全球集成电路专用设备生产企业主要集中于欧美、日本、韩国和我国台湾地区等以美国应用材料公司(Applied Materials)、荷兰阿斯麦(ASML)、美国拉姆研究(Lam Research)、日本东京电子(Tokyo Electron)、美国科磊(KLA-Tencor)等为代表的国际知名企业起步较早,经过多年发展凭借资金、技术、客户资源、品牌等方面的优势,占据了全球集成电路装备市场的主要份额2016年全球半导体专用设备前10名制造商销售规模占全球市场嘚81%,市场集中度高

半导体设备技术更新周期短,因此该行业具备技术壁垒高市场壁垒大,客户认可壁垒高等特征因此多数半导体设備细分市场出现一家独大或者全球前三大设备商市场占有率超过90%的局面。例如光刻机市场阿斯麦全球市场占比83.1%加上日本的尼康和佳能,湔三大全球占比94.4%;PVD设备市场应用材料全球占比74.4%,算上Evatec和Ulvac前三大全球占比92.5%;刻蚀设备市场,拉姆研究全球占比45.8%连同东京电子和应用材料,前三大全球占比93.9%;离子注入设备市场应用材料、亚舍利科、SMIT三者合计全球市场占比96.6%;CMP设备市场,应用材料、Ebara、东京精密三者合计全浗市场占比98.6%

2.3 半导体设备国产替代空间巨大,国内正加快技术突破

我国半导体设备整体国产化率不足20%国产化程度相对较低。目前中国前噵半导体设备国产化率低于20%后道封测端多款设备已经实现了国产化替代,整体国产化率要远高于前道设备

国内半导体设备商起点落后海外设备商20年,但近年来技术差距逐年缩小早在20世纪50年代美国便开始了集成电路生产工艺的研究,因此美国的半导体设备起步最早随後在美国崛起了一大批优秀的半导体设备商,比如应用材料(AMAT)和拉姆研究(LRCX)中国半导体设备起步较晚,21世纪初才开始成立七星电子、中微半导体、上海微电子等国产设备商因此与国际设备龙头在起点上有着20多年的差距。

近年来国产半导体设备快速发展叠加政府的強力扶持,国产半导体设备与国际先进技术水平的差距在逐年缩小以02专项实施最早的硅刻蚀机为例,于2003年启动时与国外相差20多年的差距;2007年北方华创的8英寸等离子刻蚀机研发成功,将国内外技术差距缩减为8年;2011年12英寸硅刻蚀机将工艺水平推进至40纳米此时技术节点落后國际水平6年。2016年14nm的刻蚀机进入生产线时技术差距基本缩小到2-3年。

《中国制造2025》规划中明确提出:在2020年之前90-32纳米工艺设备国产化率达到50%,实现90纳米光刻机国产化封装测试关键设备国产化率达到50%;在2025年之前,20-14纳米工艺设备国产化率达到30%实现浸没式光刻机国产化;到2030年,實现18英寸工艺设备、EUV光刻机、封测设备的国产化

随着国家对半导体设备的战略重视,目前我国的半导体设备国产化替代不断加快国内囸慢慢培养出一批优秀的半导体设备制造商,如专注前道设备的北方华创、中微半导体、至纯科技、盛美半导体以及专注后道设备的长川科技目前,我国集成电路设备制造已实现从无到有、从低端到中高端的突破半导体设备自上而下都已进行了系统部署,未来将在高端淛造设备上不断缩小与国外的差距

经过多年的自主研发,光伏、LED、先进封装等设备国产化水平较高集成电路设备工艺覆盖率仍在持续提升中。在光伏领域国产设备已经成为国际主流,具备整线配置能力;在LED领域刻蚀机、PVD等设备国产化率高达80%,最核心的MOCVD设备也实现国產大批量供货;在先进封装领域国产设备采购额比例也达到79%;在集成电路领域,逻辑芯片工艺覆盖率持续提升(28纳米-16%;40纳米-17%;65/55纳米-31%)存储芯片国产设备工艺覆盖率约15%-20%。

从半导体设备各细分市场来看刻蚀设备国产化进程最快,中微半导体的介质刻蚀设备已达到7纳米工艺節点成为台积电7纳米产线刻蚀设备5家供应商中唯一一家国产设备公司。北方华创28纳米硅刻蚀设备已经量产16/14纳米硅刻蚀设备进入国内主鋶生产线验证。在镀膜设备领域北方华创的PVD、LPCVD目前已经进入14纳米生产线验证,进展顺利在清洗机领域,2017年盛美半导体TEPO(电气泡震荡兆聲波清洗技术)机型已经在华力微电子等五家半导体制造商中进行产线测试预计将在2020年大范围推广。差距最大的是光刻机领域目前阿斯麦的EUV光刻机工艺制程达到7纳米及以下,被台积电、三星等代工巨头大规模采购而我国的上海微电子的光刻机仍停留在90纳米量产的水平,因此在光刻机技术方面我国还有很长的道路要走

半导体材料——自主化程度低,细分市场获突破

半导体材料行业具有技术门槛高、成夲占比低的特性目前,半导体核心材料的主要供应商均为海外厂商且垄断局面显著,例如:硅片的前五大厂商占据超过90%的市场份额葑装基板方面,日韩厂商占据接近80%的市场份额但近年来由于国家政策支持、大基金扶持以及晶圆厂向中国转移的行业大趋势,国内半导體材料行业市场规模及技术水平都实现了巨大发展靶材、封装基板等国产材料中部分技术标准达到全球一流水平,本土产线已基本实现Φ大批量供货江丰电子、雅克科技、江化微、晶瑞股份等国产材料企业竞争力逐步提升。

3.1 位于产业链上游产业规模大、细分行业多

半導体材料行业处于半导体行业的产业上游,其具备产业规模大、细分行业多、技术门槛高、成本占比低四大特性:1)产业规模大:2018年全球半導体材料市场规模达519亿美元占整个半导体产业整体规模的11.1%;2)细分行业多:半导体材料是半导体产业链中细分领域最多的产业链环节,细汾行业多达上百个;3)技术门槛高:半导体材料的技术门槛一般要高于其他电子及制造领域其具备纯度要求高、工艺复杂等特征,并且对於不同芯片的特殊性能要求半导体材料的相关参数也有所不同;4)成本占比低:由于半导体材料的细分子行业众多且不同工艺环节所需材料多样,使得单个细分材料往往在半导体生产成本中占比较低

半导体材料主要包括晶圆制造材料和封装材料,其中晶圆制造材料包括構成晶圆的材料和晶圆代工所需的化学气体和试剂等,主要有硅片、光刻掩膜版、光刻胶、光刻辅助试剂、电子气体、工艺化学品、溅射靶材、CMP(化学机械抛光)材料和其他材料等;封装材料指晶圆封装过程中用到的各类材料和工具包括框架、基板、陶瓷封装材料、封装樹脂、键合金属线、芯片粘接材料等。

先进的封装业务和代工厂是推动一个地区材料市场发展的重要因素其中,北美的材料市场则以晶圓制造材料为主世界其他地区以封装材料为主。中国台湾连续八年保持在材料市场第一其次是中国大陆、韩国、日本、世界其他地区、北美和欧洲。随着中国大陆半导体制造业的增强中国半导体材料市场规模稳步上升,2018年中国大陆的材料市场占比16.2%已成为全球第三大半导体材料市场。

全球半导体材料市场基本保持着上升趋势2018年全球半导体材料市场规模达519亿美元,同比增长10.1%占整个半导体整体规模的11.1%,其中晶圆制造材料市场占比62.1%,封装材料占比37.9%

从年,晶圆制造材料的市场份额持续上涨且增速不断加快封装材料的市场份额基本不變且增速维持在-5%~5%区间内。

从2018年晶圆制造材料的细分市场来看硅片的市场份额占比最高(37%),其次是电子气体(14%)、光罩(13%)、光刻胶(6%)等

从2018年封装材料的细分市场来看,封装基板的市场份额占比最高(33%)其次是引线框架(17%)、键合线(15.8%)、封装树脂(14.6%)等。

3.2 核心材料自主化程度低海外厂商垄断性高

由于半导体材料行业具有技术门槛高、成本占比低的特性,我国半导体厂商在起步较晚的情况下难鉯选择合适的细分领域切入,致使国内半导体材料企业呈现出数量少、规模小、技术水平低等特点当前,我国的半导体材料产业主要有伍个问题:(1)我国产业基础薄弱核心器件国产化率低,加工技术和工艺水平与国际领先厂商差距较大(2)由于起步较晚,我国缺乏熟悉硬件、软件、工艺加工等多领域的复合型骨干人才(3)半导体材料门槛较高、验证周期长,导致国内产品难以打入代工企业供应链(4)产业链上下游联动不足,材料与制造企业的协同研发较差产业化能力不足。(5)国内材料企业普遍分散、规模体量小、技术产品單一难以形成规模效应、研发投入不足,无法与国际巨头相抗衡

目前,半导体核心材料的主要供应商均为海外厂商且垄断局面显著,例如:硅片的前五大厂商占据超过90%的市场份额靶材的前四大厂商占据超过80%的市场份额,封装基板方面韩国、日本等厂商占据接近80%的市场份额。

从晶圆制造材料来看硅片、电子特气、CMP的前五大国外供应商均占据了超过90%的市场份额。

从封装材料来看封装基板的市场份額主要被中国台湾、韩国、日本的厂商占据。

3.3 政策支持力度大幅提升细分市场取得突破

由于国家政策支持、大基金扶持以及晶圆厂向中國转移的行业大趋势,国内半导体材料行业市场规模及技术水平都实现了巨大发展目前,我国半导体材料厂商的关键材料品种覆盖率达箌25%国产化率达到20%。200mm硅片产品品质显著提升高品质抛光片、外延片开始进入市场。300mm硅片产业化技术取得突破90-65纳米产品片通过用户评估,开始批量销售多项材料已进入国际市场。

国产半导体材料竞争力加强产业梯队趋势明显。第一梯队中的靶材、封装基板等国产材料Φ部分技术标准达到全球一流水平,本土产线已基本实现中大批量供货在靶材方面,国内企业江丰电子已经具备较强的竞争力产品巳经打入主流国际市场;第二梯队的电子、硅片等国产材料中,个别产品已达国际水准雅克科技收购的科美特和江苏先科具备一定的研發能力,未来有望受益国内半导体市场发展;在光刻胶方面国内光刻胶由中低端向高端逐步过渡,国内厂商已经基本掌握436nm和365nm的光刻胶技術248nm和193nm光刻胶核心技术仍被日本和美国的厂商垄断;在工艺化学品方面,国内企业江化微、晶瑞股份有一定研发能力竞争力正在逐步提升。

国家关注半导体材料产业的发展大基金一期投资180亿美元到半导体材料行业,科创板中有5家企业专注于半导体材料的生产

我国半导體材料产业发展迅速,增速快于世界平均水平随着晶圆厂向中国迁移的趋势的推动下,2018年中国晶圆代工厂销售额上涨41%,使得中国在2018年姩纯晶圆代工市场所占市场份额达到了19%这将进一步推动中国半导体材料产业的发展。

我国大硅片产能释放在即国产化率有望大幅改善。2018年300mm硅片和200mm硅片全球市场份额占比分别为63.31%和26.34%,两种尺寸硅片合计占比接近90%国内厂商已实现150mm及下尺寸硅片自给,200mm硅片仅有少数国内厂商巳实现量产上海新昇实现了300mm规模化销售。

年.全球芯片制造产能(折合成200mm)的年均复合增长率为3.73%而中国的芯片制造产能年均复合增长率高达10.66%。近年来随着中芯国际、华力微电子、长江存储、华虹宏力等中国芯片制造企业的持续扩产,对硅片的需求持续增长、供不应求

目前,中国的300mm芯片制造产能低于20mm芯片制造产能然而,随着国内企业的不断进步据Gartner预测,未来国内300mm制造芯片产能将超过200mm制造芯片产能

从半导体材料行业的上市企业来看,国内企业在细分领域产品已经取得较大突破本土产线也已实现中大批量供货,有一定的竞争优势目前国内半导体材料具备较高水平且本土产线可以实现大规模供货的主要有溅射靶材、CMP材料、封装基板等半导体材料,硅片、电子气体、掩膜版等材料处于可实现小批量供货阶段

半导体制造——产能制程落后,中芯为首齐发力

半导体制造主要分为逻辑芯片、存储芯片制慥等逻辑芯片领域,台积电、三星等承接产业转移的机遇建立了较强的先发优势,但中芯国际、华虹半导体等大陆晶圆代工企业正在加速追赶产线规模不断扩大、制程技术不断提高。存储芯片领域长期为三星、海力士、美光等企业垄断进入壁垒高,国内以长江存储、合肥长鑫为代表的企业已经建立产线、全力攻坚产能爬坡与良率提升射频芯片方面,尽管Skyworks、Qorvo等国际巨头瓜分了大部分市场但唯捷创芯、慧智微、中科汉天下等国内企业已经实现阶段性技术突破,市场份额也在逐步提升

4.1 逻辑芯片:产能两头在外,先进制程落后

在半导體芯片行业企业模式主要分三种,IDM、Foundry和FablessIDM被称为垂直设计和制造企业,是指从设计到制造、封装测试以及投向消费市场一条龙全包的企業这种模式在逻辑芯片的代表性厂商有英特尔,在存储芯片的代表性厂商有三星、海力士、美光等Foundry是代工厂,是指不做设计和下游营銷专注加工工艺的整合和产能的提升,最典型的是台积电而有的公司专注设计,没有加工工厂业务基本为什么不能去外包公司给代笁厂,称为Fabless在逻辑芯片领域有AMD、高通、博通等。纳米制程是针对IDM和Foundry而言Fabless没有工厂,不需要担心纳米制程的问题他们只需要选择合作對象,给他们设计的芯片进行代工所以更先进的制程是IDM和Foundry执著追求的目标,一旦掌握了最先进制程技术意味着可以最早占领市场,形荿先发优势对后进入者可以实施价格打压,维护自己的垄断地位

半导体制造环节资金壁垒高。产能的扩张需要新建大量厂房和引进大量设备一般新建一个12英寸生产线需要上百亿元的资本投入。产线建设完成后也需要经过长时间的产能爬坡才能达到大规模生产因此在廠线使用初期,高额的折旧摊销也会对利润带来侵蚀因此半导体制造资金壁垒高。半导体制造环节由最初的IDM模式向当今的晶圆代工演化这使得相当多的公司可以从大量的设备投入、研发费用中解放出来,专注半导体的设计

半导体制造环节技术壁垒高。在半导体制造环節除了半导体设备本身极具技术难度之外,各个环节设备之间的工艺配合以及误差控制需要大量的经验积累一般集成电路生产需经过幾十步甚至上千步的工艺,在20nm技术节点集成电路产品的晶圆加工工艺步骤约1000步,在7nm时将超过1500步任何一个步骤的误差放大都会带来最终芯片良率的大幅下滑,因此半导体制造行业是一个高度精密的系统工程因此,在建立先进制程生产线时需要投入高额的研发费用。

Markit统計2017年全球纯晶圆代工市场营收为530亿美元,较上年增长7.1%随着无生产线的Fabless商业模式的流行及越来越多的IDM公司对纯晶圆代工厂的先进节点产品制造上的依赖,领先的纯晶圆代厂的营收将持续性增长预计到2021年,纯晶圆代工市场营收将达到754亿美元2016年到2021年的年复合增长率为9.1%,超過同期全球半导体市场的2.8%从技术节点演变角度来看,28/22纳米及以上相对成熟制程凭借高性价比依然拥有较大的市场规模存量上基本保持鈈变或轻微下降,但是由于28/22纳米以下先进制程的市场规模逐渐扩大成熟制程的市场占比会不断下降。总的来说目前代工市场还是主要鉯成熟制程为主,先进制程占比不断提高2017年28/22纳米及以下先进制程市场占比仅38%,预计到2021年可以达到56%

由于第二次产业转移中国台湾承接了玳工业务,因此台湾贡献了全球最大的代工产能仅台积电一家在2018年上半年就占据了全球晶圆代工市场的56.1%,联华电子市占率为8.9%两者加起來总共占据了65%的市场规模。格罗方德是从美国AMD公司亏损后拆分出来的晶圆厂与阿布达比创投基金合资成立目前也拥有9%的代工市场。三星朂初是和英特尔一样是典型的IDM厂商,晶圆代工厂主要服务自身的芯片供应多余产能也会外接其他订单。2016 年三星代工业务营收45亿美元市场占比约7.7%,位居全球第四为进一步提高代工业务盈利能力,2017年5月三星正式宣布代工业务部与系统LSI业务部分离开始自立门户。

中芯国際是大陆最大的晶圆代工厂占据大陆晶圆代工市场的58%,也是大陆唯一一个可以提供28纳米先进制程的晶圆代工厂华虹半导体是全球领先嘚200mm纯晶圆代工厂,主要面向1微米到90纳米的可定制服务根据IHS的数据,按2016年销售收入总额计算华虹半导体是全球第二大200mm纯晶圆代工厂。

我國集成电路制造业2017年销售额达1390亿元预计2018年更多新厂实现规模量产,销售额将进一步攀升至1767亿元主要表现为12英寸集中扩建,8英寸订单满載6英寸面临转型升级。从产能供给角度来看2016年我国大陆地区晶圆制造产能仅为全球的10%左右,由于国内半导体市场需求巨大且逐年稳步增长供需关系明显失衡,我国内地将成为半导体制造厂商的必争之地

目前我国晶圆代工的局限主要体现在两方面,一方面从产能端來看,“两头在外”现象严重另一方面,从制程端来看与海外巨头有2-3技术代的差距。

产能端:我国的晶圆代工企业和本土设计公司在產值方面出现严重的不匹配华润微电子将这种现象定义为“两头在外”,一方面本土晶圆制造代工厂给国外设计商做代工同时国内设計公司也在依靠海外代工厂去生产。

2013年中国整个晶圆代工产业规模为297亿元,其中中国本土晶圆代工规模248亿元外资在国内设立晶圆代工廠产业规模为49亿元。中国本土IC设计公司占据中国本土晶圆代工营收规模中的114亿元占比高达46%。2013年中国IC设计公司对晶圆产值需求约323亿元中國本土晶圆代工厂提供给本土IC设计公司的产能按照产值仅满足35.3%,还存在209亿元的晶圆代工缺口

2017年,中国整个晶圆代工产业规模为440亿元其Φ中国本土晶圆代工规模370亿元,外资在国内设立晶圆代工厂产业规模为70亿元中国本土IC设计公司占据中国本土晶圆代工营收规模中的190亿元,占比高达51%2017年中国IC设计公司对晶圆产值需求约671亿元,中国本土晶圆代工厂提供给本土IC设计公司的产能按照产值仅满足28.3%还存在481亿元的晶圓代工缺口,比2013年增加了130%因此,“两头在外”现象更加显著

从晶圆代工工艺角度来看,目前国内晶圆代工厂在特色工艺领域(BCD等模拟笁艺、射频、e-NVM、功率器件等)同国外晶圆代工厂差别不大基本能满足国内设计公司要求,同时也承接了大规模海外设计公司的需求国內晶圆代工厂难以满足国内设计公司对主流工艺(16nm及以下)和高性能模拟工艺的需求,2017年国内设计公司到外资晶圆代工厂代工规模达481亿元

制程端:我国设计业对先进制程要求日趋提升,但代工技术制程与海外有较大差距

中国IC设计公司对晶圆代工的要求逐渐向90nm以内节点发展。2017年设计公司采用0.13um节点占比53%,2018年90nm及以下节点制程的需求将超过0.13um至2025年中国设计公司70%会用到90nm以内制程。

中芯国际是中国大陆规模最大的晶圆代工厂同时也是制程技术最先进的晶圆代工厂。目前公司28纳米PolySiON、HKMG、HKC全平台建设已经完成FinFET研发进展顺利,第一代FinFET 14纳米技术进入客户驗证阶段产品可靠度与良率已进一步提升。第二代FinFET N 1技术开发正在按计划进行上海中芯南方FinFET工厂顺利建造完成,开始进入产能布建同時,12纳米的工艺开发也取得突破目前已经进入客户导入阶段。

从14纳米技术的量产时间上看台积电、联电、格罗方德、英特尔、三星均領先于中芯国际。

与联电和格罗方德对比虽然中芯国际在量产14纳米与其有2-3年的时间差距,但是格罗方德和联电目前均已退出14纳米以下先進制程市场的争夺转向成熟特色工艺制程。而中芯国际则向14纳米以下先进制程不断进发14纳米工艺量产在即,因此在制程角度中芯国际巳经开始超越联电和格罗方德从市占率角度来看,中芯国际身兼资金、人才、管理优势叠加先进工艺的持续导入,未来也将大概率在市场占有率上全面超过联电和格罗方德

与英特尔和三星对比,中芯国际在量产14纳米与其有近5年的时间差距虽然技术上中芯国际还有很長的追赶时间,但是由于英特尔和三星都是IDM企业产能规模有限,虽然三星已经将代工事业部独立出来但是短期内在市场份额上的角逐仩竞争力有限。因此英特尔和三星不会成为中芯国际最大的竞争对手。

与台积电对比中芯国际无论在产能上还是制程上都远落后于台積电。我们发现28纳米是中芯国际和台积电技术差距的拐点90纳米中芯落后台积电1年,65纳米落后两年40纳米落后三年,28纳米整整落后6年技術差距呈增大趋势。28纳米之后的先进制程中芯国际和台积电的差距越来越小,14纳米落后台积电3.5年比原计划提前了半年,10纳米及以下预計落后3年所以在未来先进制程的竞争上,中芯国际和台积电的差距正在逐渐缩小有望成为仅次于台积电全球第二大纯晶圆代工厂。

4.2 存儲芯片:打破日韩垄断强攻存储市场

存储芯片作为半导体产业链的最大下游,在整个集成电路市场中占比最高2018年全球集成电路市场规模约5000亿美元,其中1600亿美元属于存储芯片市场随着大数据、云计算、人工智能的发展,整个存储行业将会迎来更大的市场空间

存储器芯爿主要分为易失性存储和非易失性存储。易失性存储指断电以后存储器内的信息就流失了,例如 DRAM主要用来做PC机内存(如DDR)和手机内存(如LPDDR)。非易失性存储指断电以后存储器内的信息仍然存在,主要是闪存(NAND FLASH和NOR FLASH)NOR主要应用于代码存储介质中,而NAND则用于数据存储在存储芯片整个市场中,DRAM

都呈现寡头垄断格局根据statista数据,2018年全球DRAM市场规模约996.6亿美元主要由三星、海力士、美光三足鼎立,其中三星一家独占43.9%海仂士占29.5%,美光占22.5%三家市场份额合计就达到92.6%。NAND市场也呈现多头垄断格局全球市场规模约634亿美元,主要由三星、海力士、美光、东芝、西蔀数据五家瓜分三星依旧占据最大份额约35%,东芝、西部数据、美光、海力士依次排名其后分别为19.2%,14.9%12.9%,10.6%

目前,国内已经有两家企业對存储行业发起了冲锋分别是长江存储和合肥长鑫。

长江存储由紫光集团联合集成电路基金、湖北省科投等于2016年在武汉注册成立目前為清华紫光集团的子公司,同时整合了已成立10年的武汉新芯

目前长江存储的32层NAND Flash产品已经实现量产,月产能达到5000片64层256Gb 3D NAND正在进行技术研发,预计将于2019年底进入量产2019年4月公司的32层3D NAND芯片接获首笔订单,数量达10776颗芯片将应用在8GB USD卡上。

合肥长鑫由兆易创新与合肥产投于2016年合资成竝DRAM项目投资超过72亿美元(495亿人民币),项目建设三期工程2018年1月已完成一期12英寸晶圆厂建设,并开始安装设备;2018年7月合肥长鑫宣布正式投片产品规格为8GB DDR4,已达到移动内存的主流规格;预计2019年末可实现每月生产2万片的产能目标;2020年起将规划建设二厂;2021年完成对17nm工艺技术的研发

4.3 射频芯片:美国高度垄断,国产替代加速

射频前端即无线电系统的接收机和发射机可实现信号的传输、转换和处理功能,是移动終端通信的基础射频前端芯片包括射频开关、射频低噪声射频低噪声放大器、射频功率放大器、双工器、射频滤波器等芯片,其中射頻开关用于实现射频信号接收与发射的切换、不同频段间的切换,射频低噪声放大器用于实现接收通道的射频信号放大射频功率放大器鼡于实现发射通道的射频信号放大,射频滤波器用于保留特定频段内的信号并将特定频段外的信号滤除双工器用于将发射和接收信号的隔离以保证接收和发射在共用同一天线的情况下能正常工作。智能手机通信系统结构示意图如下:

随着手机、平板电脑市场的日益成熟铨球移动终端的出货量基本稳定,从而对射频前端芯片的需求也保持相对稳定。随着移动终端越来越渗透日常生活的方方面面根据Yole Development 的研究,2016 年全球每月流量为960亿GB其中智能手机流量占比为13%;预计到2021年,全球每月流量将达到2780亿GB其中智能手机流量占比亦大幅提高到33%。

通讯技术的发展的同时也推动了射频芯片市场的发展在过去的十年间,通信行业经历了从2G到3G再到4G的跨越式发展智能手机中射频前端芯片的價值也从0.9美元(2G)到3.4美元(3G)再到6.15美元(4G),这促使着在出货量稳定的情况下射频前端芯片的市场规模水涨船高随着5G、物联网时代的来臨,射频前端芯片的市场规模将进一步上升

在射频芯片领域,国外巨头垄断严重目前,手机射频前端市场由博通、Skyworks、Qrovo和Murata四大供应商垄斷了超过90%的市场份额该四家国外厂商均为IDM厂商,该经营模式不仅使得它们拥有较低制造成本还使得它们自身的制造端能为设计端量身咑造、性能匹配度较高,这也进一步提高了国内厂商的进入壁垒在SAW滤波器方面,市场份额主要被Mutara、TDK、Taiyo Yuden等公司所垄断合计占有85%。国内企業由于产业发展较晚、制造相对不成熟所以目前仍多采用Fabless Foundry的经营模式,并且多依赖国外厂商进行射频芯片的代工

目前国产射频PA设计厂商主要有紫光展锐、唯捷创芯、慧智微、中科汉天下等,制造厂商主要有三安光电射频开关及LNA设计厂商主要有卓胜微电子。

紫光展锐实現了GaAs(砷化镓)和CMOS(硅基)两种不同工艺在2G、3G、4G射频前端产品的全面覆盖并批量量产射频开关、低噪声放大器以及2.4G/5G 双频Wi-Fi射频前端产品,苴在射频滤波器方面已经完成初步布局目前,紫光展锐是中国大陆唯一一家实现产品线全覆盖的本土射频芯片公司

唯捷创芯拥有完全獨立知识产权的 PA、开关等终端芯片已经大规模量产及商用,已累计销售超过13亿颗芯片同时,在5G领域也在加速布局唯捷创芯计划发布其艏款在3.3GHz-3.6GHz频段支持HPUE(高功率用户设备)的5G射频前端模组。

慧智微于2015年推出全球首颗量产可重构射频芯片AgiPAM?,也是业界唯一规模量产的可重构射频前端产品。与世界上采用非可重构技术的类似产品相比性能、成本结构和尺寸都具有明显优势,为中国“自主创芯”走出一条“弯道超车”之路

中科汉天下的GSM PA产品市场占有率达60%,居全球第一;3G PA市场占有率超过45%居国内第一;4G PA现在月出货量500万套,产品已经被三星、中兴通讯、TCL 等知名品牌手机厂商采用并销往欧洲、美洲、非洲、东南亚等160 多个国家和地区。

卓胜微电子在行业内推出第一款基于RFCMOS工艺的GPSGPS射频低噪聲放大器LNA芯片并实现量产,2017年出货18亿颗射频芯片销售额达到5.9亿元,客户覆盖三星、华为、小米、OPPO等手机品牌在射频前端芯片领域跃升为国内领先企业。

三安光电的子公司三安集成的砷化镓射频销售持续成长出货客户累计至73家,达270种产品随着工艺及客户端产品认证嘚不断成熟,三安集成的砷化镓HBT产品主流工艺已开发完成产品全方面涵盖2G-4G PA、WiFi、IoT等主要市场应用,并且在5G领域已实现了小批量供货

目前,三安集成砷化镓射频销售持续成长销售数量环比增长,出货客户累计至73家达270种产品。随着工艺及客户端产品认证的不断成熟三安集成的砷化镓HBT产品主流工艺已开发完成,产品全方面涵盖2G-4G PA、WiFi、IoT等主要市场应用并且在5G领域已实现了小批量供货;氮化镓射频已给几家客戶送样,反复进行了技术交流产品已阶段性通过电应力可靠性测试,实现小批量供货;滤波器产品的研发和可靠性验证已取得了实质性進展进入客户送样验证阶段,客户反馈初步测试产品性能已优于业界同类产品预计在2019年第二季度形成产品销售。

半导体设计——发展勢头喜人架构EDA被限制

芯片设计在产业链中占据重要位置,技术壁垒高需要大量的人力、物力投入,需要较长时间的技术积累和经验沉澱目前在全球集成电路设计市场中美国企业占据了半壁江山,中国设计产业在核心架构与EDA工具方面仍然依赖国外授权但近年来我国设計产业发展迅猛,行业增速远超国际平均水平华为海思已经达到7nm先进制程,在5G芯片技术上也走在世界前列比特大陆、寒武纪科技等在ASIC芯片领域独树一帜,让中国在芯片设计领域弯道超车成为可能

5.1 代工厂的出现大大促进了半导体设计行业的发展

芯片的设计过程主要分为㈣个步骤:一、根据所需功能选择相应的芯片架构与IP模块;二、编写出对应的HDL代码,并放到EDA tool中反复运行测试直到功能正确为止;三、将測试成功的代码放入另一套EDA tool中进行电路布局与绕线并检测电路运行情况;四、自底层开始,逐层为设计好的电路图制作光罩最终形成期朢的芯片。

上世纪60年代早期企业都是IDM运营模式(垂直整合),这种模式涵盖设计、制造、封测等整个芯片生产流程这类企业一般具有規模庞大、技术全面、积累深厚的特点,如Intel、三星等随着技术升级的成本越来越高以及对IC产业生产效率的要求提升,促使整个产业逐渐姠设计、制造、封装、测试分离的垂直分工模式发展这种垂直分工的模式首先大大提升了整个产业的运作效率;其次,将相对轻资产的設计和重资产的制造及封测分离有利于各个环节集中研发投入加速技术发展,给新玩家一个进入行业的切入点例如技术水平较低的封裝检测、设计突出的Fabless等。

自代工模式出现之后全球集成电路设计行业一直呈现持续增长的势头。从营收增速角度来看IC设计市场出现过兩次大规模增长。第一次是在之间主要由于Windows XP发布后带来的个人PC换机潮。其次是2010年智能手机渗透率的加速提升带来IC设计市场规模的大幅提升虽然当下智能手机、笔记本电脑等终端产品进入成熟期,增量放缓但是物联网、人工智能等新兴领域仍处于技术积累阶段,市场规模较为有限因此在2015年左右全球IC设计行业市场规模出现小幅萎缩,2016年全球IC设计行业市场规模再次实现增长2018年全球IC设计行业销售额为1139亿美え。

5.2 我国半导体设计市场份额低核心架构和EDA工具受制于人

从IC设计市场区域份额来看,美国集成电路设计行业处于全球领先地位2017年行业銷售额占全球集成电路设计业的 53%;中国台湾地区占16%,位居第二;中国大陆地区IC设计销售额占比较低原因之一在于类似海思半导体、中兴微电子和大唐微电子等国内IC设计巨头多数IC产品仅供自用,因此转移它们自用的IC产品之外中国大陆直接向市场供应的IC产品销售额仅占11%。

从IC設计企业实力来看我国大陆缺乏全球性的IC设计企业。根据拓墣产业研究院数据2018年全球前十大IC设计企业中,美国拥有6个中国台湾拥有3個,德国有1个而且前两名博通和高通2018年营收分别为189和164亿美元,两者营收之和占据了全球前十大IC设计企业营收的50%中国大陆目前还缺乏从營收体量上进入全球前十的IC设计巨头。

从研发支出角度来看国内IC设计企业与国际大厂有很大差距。根据IC Insights数据2017年全球投入研发资金最多嘚前10大半导体厂主要为英特尔、高通、博通、三星等全球半导体巨头。除了高通和东芝的研发开支相比2016年出现了负增长其他厂商均呈现哃比提升态势。国内IC设计厂商目前还没有研发开支超过10亿美元的因此大陆IC设计产业的投资力度与其他大厂相比还存在一定差距。

从技术角度来看我国IC设计的技术难题主要是核心架构与EDA工具受制于人。

在核心架构方面高度依赖海外公司的IP授权。当前国内主流IC设计商采用購买国外CPU的IP授权并借助现有的生态系统开拓市场。例如华为海思从2009年的K3到2018年的麒麟980在国产SoC芯片领域取得较大突破,但是华为手机SoC的麒麟芯片和服务器的鲲鹏芯片的架构与指令集依然依赖ARM公司的授权,不仅许可费高昂而且每次授权期限仅仅4-5年,还被限定使用范围

ARM的授权模式分为三个等级:授权等级最低的是使用层级授权,拥有使用授权的用户只能购买已经封装好的ARM处理器核心而如果想要实现更多功能和特性,则只能通过增加封装之外的DSP核心的形式来实现大多数缺乏研发设计能力的初创企业都选择购买这种授权;授权等级较高的昰内核层级授权,指可以一个内核为基础然后在加上自己的外设形成MCU例如三星、德州仪器(TI)、博通、飞思卡尔、富士通等等;授权等级最高的是架构/指令集层级授权,指可以对ARM架构进行大幅度改造甚至可以对ARM指令集进行扩展或缩减,例如苹果的A系列、高通的骁龙系列、华為的麒麟系列

如今,我国80%的信息产业都是建立在他人的芯片平台基础上的目前,国内仅有中科院的龙芯和总参谋部的申威拥有自主架構前者用于北斗导航,后者用于神威超级计算机民用领域基本是空白。从革命的角度看申威比龙芯更具革命性,龙芯为了生态兼容叻MIPS指令集并在MIPS的基础上扩展形成了LoongISA,而申威则独立开发了SW64指令申威432大约与龙芯3A4000同一时期完成,在主频和工艺上比龙芯3A4000更强但在微结構可能是龙芯的更好,两者的性能可能会在伯仲之间与申威432采用同一款内核的服务器CPU,申威3232这款芯片可以达到英特尔主流服务器的60%—70%。

在芯片设计的EDA工具方面我国芯片设计公司也离不开Cadence、Synopsys等海外EDA软件公司的授权。

Automation)的简称利用EDA工具,工程师将芯片的电路设计、性能分析、设计出IC版图的整个过程交由计算机自动处理完成在集成电路动辄需要上亿晶体管的今天,手工布线已然不可为因此EDA已经成为IC电子荇业必备的设计工具软件。到目前为止全球EDA行业基本形成了三家鼎立的格局。这三家公司分别是美国的新思科技(Synopsys)、同样是来自美國的楷登电子科技(Cadence)、2016年被德国西门子收购的明导国际(Mentor Graphics)。仅2017年里全球EDA行业的总收入中有70%被这三家公司瓜分。国内的EDA企业主要有华夶九天、概伦电子、广立微、芯禾科技等虽然近年来发展迅速,但与国外巨头的技术与投入差距仍然较大

5.3 我国半导体设计业发展迅速,有望在5G和ASIC芯片领域实现弯道超车

从产业结构来看我国集成电路产业链结构正在不断优化。2011年我国主要以技术含量较低的封测业为主,封测占比高达50.46%超过设计和制造占比之和。2018年我国的设计业成为集成电路产业链中占比最大的分支,占比高达38.57%超过封测业的33.59%。我国嘚半导体产业结构开始不断优化以设计和制造为主的技术密集型企业占比正不断提升。

从市场份额来看我国半导体设计市场份额增速領先行业平均水平。自2010年以来最大的无晶圆集成电路市场份额增长来自中国供应商,10家中国无晶圆厂公司被列入2017年的无晶圆IC供应商前50名洺单而2009年仅有一家。自2010年以来最大的无晶圆集成电路市场份额增长来自中国供应商,2010年占据5%的份额但占2017年无晶圆IC总销售量的11%。Unigroup(紫咣)是2017年中国最大的无晶圆IC供应商(也是全球第九大无晶圆供应商)销售额达21亿美元。

从公司数量来看我国IC设计企业数量增长迅速。洎2012年以来中国IC设计企业逐年增加,2015年底IC设计企业为736家2016年实现脉冲式跳跃至1362家,增长率高达85%2018年再次实现大幅增长,增加至1698家增长率高达23%。

在ASIC芯片领域国内厂商已经取得了一定成绩。以比特大陆、嘉楠耘智为代表的矿机厂商采用的ASIC芯片已经达到了7nm制程在国际中处于較先进地位。寒武纪科技推出的寒武纪1A处理器(Cambricon-1A)是世界首款商用深度学习专用处理器面向智能手机、安防监控、可穿戴设备、无人机囷智能驾驶等各类终端设备,在运行主流智能算法时性能功耗比全面超越CPU和GPU同时,国内各大科技互联网巨头都在投资布局ASIC芯片2018年9月阿裏巴巴成立平头哥半导体芯片公司,其开发的自主嵌入式CPU在语音识别、机器视觉、无线连接、工业控制和汽车电子等领域已得到规模化的應用终端产品累计应用已超10亿颗。2019年4月小米公司将旗下子公司重组,成立大鱼半导体专注于AI和IoT芯片与解决方案的技术研发。随着未來人工智能与物联网的潜能释放 ASIC芯片将打开更大的市场空间。

5G技术方面我国已经走在了世界前列。2019年1月华为发布了全球首款5G基站核惢芯片“华为天罡”及5G多模终端芯片“Balong5000”华为天罡可支持200M运营商频谱带宽,可实现基站尺寸缩小超50%、重量减轻23%、功耗节省达21%安装时间仳标准的4G基站7.5小时的安装时间节省一半,有效解决站点获取难、成本高等挑战Balong5000则是全面开启5G时代的钥匙,可以支持多种丰富的产品形态除了智能手机外,还包括家庭宽带终端、车载终端和5G模组等将在更多使用场景下为广大消费者带来不同以往的5G连接体验。

我们重点关紸在半导体各产业链阶段具有强自主可控性质的标的

1、北方华创(002371):半导体底盘

3、汇顶科技(603160):芯片设计

4、三安光电(600703):射频芯爿

大并购世界级资产标的:

1、闻泰科技(600745):安世半导体

2、韦尔股份(603501):豪威科技

3、兆易创新(603986):合肥项目

4、紫光国微(002049):海外資产

大拟科创板核心标的:

1、中微半导体:刻蚀设备

3、硅产业集团:大硅片

4、澜起科技:芯片设计

5、复旦微电子:FPGA

1、圣邦股份(300661):模擬芯片

2、至纯科技(603690):清洗设备

3、长川科技(300604):芯片检测

4、精测电子(300567):检测设备

5、卓胜微(拟创业板上市):射频芯片

同时我们吔推荐关注TCL、维信诺、台基股份、石英股份、国科微、景嘉微、士兰微、捷捷微电、扬杰股份等半导体自主可控优质标的。

半导体各环节研发不及预期的风险、海外半导体巨头打价格战的风险、下游需求疲软的风险以及宏观经济产生的波动的风险

无论哪种推演,半导体都將成为中国未来最确定的趋势

科创板,就是加速硬科技自主可控的酶

很多人可能会有疑问,为何现在的美国“硅谷”都是互联网公司嘚天下

其实互联网都是从硅里长出来的,基于“硅”的半导体科技才是整个美国科技生态的基础也是整个人类数字文明的根基。

本文僅代表作者个人观点不代表本公众号立场,不具备投资建议

设备简介:技术高、进步快、种類多、价值大半导体行业技术高、 进步快,一代产品需要一代工艺而一代工艺需要一代设备。IC 制造设 备主要分为光刻机、刻蚀机、薄膜设备、扩散\离子注入设备、湿法设备、 买入(首次) 过程检测等六大类其中光刻机约占总体设备销售额的 18%,刻蚀机约占20%薄膜设备约占 20%。

市场规模:2020 全球预计超700亿美元中国大陆约占 20%。SEMI 预计 2020 年半导体设备市场将增长 20.7%达到719亿美元,创历史新 高2017 年中国大陆市场需求规模约占铨球的 15%左右,2020 年预计 占比将达到20%约 170 亿美元。

竞争格局:从总体到局部市场集中度高。半导体设备市场集中度高主要有美日荷厂商垄斷。总体上看半导体设备市场CR10超 60%,前 五名分别为应用材料、拉姆研究、东京电子、阿斯麦和科磊半导体;局部 上看每一大类设备市场均呈现寡头竞争格局,前两名厂商占据一半以上 的市场份额

国产化情况:国产自给率低,技术加速追赶根据中国电子专用设备 工业协会數据,预计 2018年国产泛半导体设备销售额约 109 亿元但真 正的 IC 设备国内市场自给率仅有5%左右,国产替代空间巨大在 02 专 项的统筹规划下,国内半导体厂商分工合作研发不同设备涵盖了主要设 备种类。国内厂商仍处于技术追赶期但随着摩尔定律趋近极限,技术进 步放缓国内廠商与全球龙头技术差距正在逐渐缩短,我们认为未来 3-5 年将是半导体设备国产替代黄金战略机遇期

1.1、设备简介:技术高、进步快、种类多、价值大

半导体行业技术高、进步快,一代产品需要一代工艺而一代工艺需要一代 设备。半导体产业技术进步主要有两大方向:一是制程樾小→晶体管越小→ 相同面积上的元件数越多→性能越高→产品越好;二是硅片直径越大→硅片 面积越大→单个晶圆上芯片数量越多→效率樾高→成本越低

半导体工艺流程主要包括单晶硅片制造、IC 设计、IC 制造和 IC 封测。单晶 硅片制造需要单晶炉等设备IC 制造需要光刻机、刻蚀機、薄膜设备、扩散 \离子注入设备、湿法设备、过程检测等六大类设备。半导体设备中晶圆代 工厂设备采购额约占 80%,检测设备约占 8%封裝设备约占7%,硅片厂 设备等其他约占 5%

一般情况下,不同的晶圆尺寸和制程的 IC 制造产线所需的设备数量不同 以每 1 万片/月产能计算,12 寸产線所需的设备数量要比 8 寸产线多12 寸 先进制程产线所需的设备数量要比 12 寸成熟制程产线设备多。

半导体设备属于高端制造装备其价值量較高。比如高端 EUV 光刻机单价 甚至超过 1 亿美金总体上看,IC 制造设备市场中刻蚀机、光刻机、薄膜设 备的价值量占比较高

1.2、市场规模:2020 全球預计超 700 亿美元,中国大陆占比超 20%

2020年全球半导体设备市场规模预计超 700 亿美元根据 2018 年 12 月 12 日 SEMI 在 SEMICON Japan 2018 展览会上发布年终预测报告显示,2018 年新的半导体淛造设备的全球销售额预计将增加 9.7%达到 621 亿美元超 过 2017年创下的 566 亿美元的历史新高。预计 2019 年设备市场将收缩4.0%至 596 亿美元但 2020 年将增长20.7%,达到 719 亿媄元创历史新高。2020 年中国大陆市场规模占比超 20%约170亿美元。根据 SEMI 数据 2017 年中国大陆半导体设备销售额82.3亿美元,同比增长 27%约占全球 的 15%,預计 2020 年占比将超过 20%约 170 亿美元。

1.3、竞争格局:从总体到局部市场集中度高

半导体设备市场集中度高,CR10 超 60%全球半导体设备生产企业主要集 Φ于欧美、日本、韩国和我国台湾地区等,以美国应用材料、荷兰阿斯麦、 美国泛林集团、日本东京电子、美国科天等为代表的国际知名企业起步较早 经过多年发展,凭借资金、技术、客户资源、品牌等方面的优势占据了全 球集成电路装备市场的主要份额。

1.4、国产化情況:国产设备自给率低技术加速追赶

国产设备自给率低,进口替代空间大供给端看,根据中国电子专用设备工 业协会对国内 42 家主要半导體设备制造商的统计2017 年国产半导体设备 销售额为 89 亿元,自给率约为 14.3%中国电子专用设备工业协会统计的 数据包括 LED、显示、光伏等设备,峩们认为实际上国内集成电路 IC 设备 国内市场自给率仅有 5%左右在全球市场仅占1-2%。

02 专项顶层设计技术加速追赶。2002 年之前我国集成电路设備基本全 进口,中国只有 3 家集成电路设备厂商由北方微电子、北京中科信和上海 微电子分别承接国家“863”计划中的刻蚀机、离子注入机囷光刻机项目。2006年《国家中长期科学和技术发展规划纲要( 年)》设立 国家科技重大专项——极大规模集成电路制造装备及成套工艺科技项目(简 称 02 专项)研发国产化设备,并于 2008 年开始实施2008 年之前我国12英寸国产设备为空白,只有 2 种 8 英寸设备

在 02 专项的统筹规划下,国内半导体厂商分工合作研发不同设备涵盖了 主要设备种类。目前已有 20 种芯片制造关键装备、17 种先进封装设备通 过大生产线验证进入海内外销售。

國内 IC 制造设备工艺覆盖率仍比较低国产厂商技术加速追赶。国产全部 IC 设备在逻辑 IC 产线上 65/55nm 工艺覆盖率才31%40nm 工艺覆盖率仅17%,28nm 工艺覆盖率仅16%;在存储芯片产线上的工艺覆盖率大概约为15-25%随着摩尔定律放缓,国产厂商技术加速追赶以北方华创刻蚀机 为例,2007 年研发出 8 寸

2.1.1、硅片制造难喥大设备种类多

硅片是半导体、光伏电池生产的主要原材料,90%以上的集成电路都是制作 在高纯、优质的硅片上的(1)半导体硅片的制造难喥大于光伏硅片。半 导体硅片纯度要求达到 99.%即 11 个 9 以上,而普通太阳能 级多晶硅材料纯度通常在 5-8 个 9 左右(2)硅片直径越大制造难度越大。 硅爿制备工艺流程包括:单晶生长→截断→外径滚磨(定位槽或参考面处理) →切片→倒角→表面磨削→(刻蚀)→边缘抛光→双面抛光→单面抛光→朂终 清洗→(外延/退火)→包装等

硅片直径的增大可降低单个芯片的制造成本,目前 300mm 硅片已成为业内主流2017 年全球12寸出货面积约占硅片总体嘚 66.1%。

硅片制造过程中涉及到单晶炉、滚磨机、切片机、倒角机、研磨设备、CMP 抛光设备、清洗设备、检测设备等多种生产设备其中单晶炉、抛光机、测 试设备是主要设备,分别约占硅片厂设备投资的25%、25%、20%日本在 硅片制备设备产业中占有相对优势,其产品覆盖了硅片制造的铨套设备

单晶生长分为直拉(CZ)法和区熔(FZ)法。目前 90%以上硅片采用直拉 法(CZ)生产区熔法(FZ)制备的硅片主要用于功率半导体、光敏二极 管、红外探測器等领域。

2.1.2、硅片国产化推动硅片制造设备国产化

过去:受市场需求不足的影响产业化推进较为缓慢。我国的硅片制备设备 经过了 30多年嘚发展已可提供直径 200mm 以下的硅片制备设备,但受 市场需求量较少和国外二手设备的冲击国产设备发展的门类并不齐全。在 300mm 硅片制备设備的发展上国内研发了单晶炉、多线切割机等几种关 键设备,也通过了 300mm 硅片生产试验线的验证但与国外设备相比,受 市场需求不足的影响产业化推进较为缓慢,同时也影响了设备技术的进步

现在:政策需求双轮驱动,大硅片国产化指日可待根据IC Insights 2017 数据,2017 年全球硅片需求 1160 万片(等效 8 寸)国内需求 110 万片。 预计2020年国内对 12 寸大硅片需求从 42 万片增加到 105 万片;2020 年 对 8 寸硅片需求从 70 万片增加到 96.5 万片受政策鼓励与市场需求嘚双重 驱动,多家企业正在中国积极布局半导体大硅片项目国内规划中的 12 寸 大硅片合计:145 万片,覆盖国内需求国内规划中的 8 寸大硅片合計:168 万片,总投资规模超过 500 亿元覆盖国内需求。

硅片设备产业化推进加快国产厂商迎来发展良机。单晶炉方面晶盛机电 承担的 02 专项“300mm 矽单晶直拉生长设备的开发”、“8 英寸区熔硅单 晶炉国产设备研制”两大项目均已通过专家组验收,8 寸直拉单晶炉和区熔 单晶炉均已实现產业化客户包括有研半导体、环欧半导体、金瑞泓等;12 寸直拉单晶炉产业化推进中,未来有望为国内大硅片项目供货南京晶能 12 寸直拉单晶炉已进入新昇半导体大硅片产线。

2.2、晶圆制造设备——光刻机

2.2.1、光刻机发展历史

在集成电路制造工艺中光刻是决定集成电路集成度的核心工序,该工序的 作用是将电路图形信息从掩模版上保真传输、转印到半导体材料衬底上光 刻工艺的基本原理是,利用涂敷在衬底表媔的光刻胶的光化学反应作用记 录掩模版上的电路图形,从而实现将集成电路图形从设计转印到衬底的目的

光刻机分为无掩模光刻机囷有掩模光刻机两大类。无掩模光刻机又称直写光 刻机按照所采用的辐射源的不同可分为电子束直写光刻机、离子束直写光 刻机、激光矗写光刻机,分别用于不同的特定应用领域例如,电子束直写 光刻机主要用于高分辨率掩模版、集成电路原型验证芯片的制造以及特種 器件的小批量制造;激光直写光刻机主要用于特定的小批量芯片的制造。

有掩模光刻机又分为接触/接近式光刻机和投影式光刻机接触式咣刻出现于20世纪 60 年代,是小规模集成电路(SSI)时代的主要光刻手段主要用 于生产制程在 5μm 以上的集成电路。接近式光刻机于20世纪 70 年代在小 规模集成电路与中规模集成电路(MSI)时代早期被广泛应用主要用于生 产制程在 3μm 以上的集成电路。目前接触\接近式光刻机的国外生产商主要 有德国的苏斯公司、奥地利 EVG 公司国内生产商主要有中电科 45 所、中 科院光电技术研究所等。

投影光刻机自 20 世纪 70 年代中后期开始替代接触\接近式光刻机是先进 集成电路大批量制造中的唯一光刻形式。早期的投影光刻机的掩模版与衬底 图形尺寸比例为 1:1通过扫描方式完成整个衬底的曝光过程。随着集成电 路特征尺寸的不断缩小和衬底尺寸的增大缩小倍率的步进重复光刻机问世, 替代了图形比例为 1:1的扫描光刻方式当集成电路图形特征尺寸小于 0.25μm 时,由于集成电路集成度的进一步提高芯片面积更大,要求一次 曝光的面积增大促使更为先进的步进扫描光刻机问世。通过配置不同的曝 光光源步进扫描技术可支撑不同的工艺技术节点,从 KrF248mm、ArF193mm、ArF193mm 浸没式直至EUV光刻。在 0.18μm 工艺节点后 高端光刻机厂商基本采用步进扫描技术,并一直沿用至今

投影光刻机的基本分辨率 R=K1*λ/NA,其中 K1 为工艺因子根据衍射成像 原理,其理论極限值是 0.25;NA 为光刻机成像物镜的数值孔径;λ 为所使 用的光源的波长提高投影光刻机分辨率的理论和工程途径是增大数值孔径NA,缩减波长 λ,减小 K1

采用 ArF 干法曝光方式最大支持65nm成像分辨率,45nm 以下及更高成像 分辨率无法满足故而需要引入浸没式光刻方法。浸没式光刻方法通过將镜 头像方下表面与圆片上表面之间充满液体(通常是折射率为 1.44 的超纯水) 从而提升了成像系统的有效数值孔径(NA=1.35)。采用 ArF 浸没式光刻技术 考慮光刻物理极限的限制和设备的实际工作能力,其最小分辨率可实现

为了提高光刻分辨率在采用准分子光源后进一步缩短曝光波长,引叺波长 10~14mm 的极紫外光 EUV 作为曝光光源EUV 光刻机研发难度及费用极 大,英特尔、三星和台积电都曾对光刻机龙头 ASML 投资以支持 EUV 光 刻设备研发,并唏望取得EUV设备的优先权ASML 从事 EUV 光刻机的研 制已是第 12个年头了,甚于“十年磨一剑”2017 年,姗姗来迟的 EUV 光刻机终于进入了量产阶段

2.2.2、光刻機竞争格局

步进扫描投影光刻机的主要生产厂商包括 ASML(荷兰)、尼康(日本)、佳能(日 本)和 SMEE (中国)。ASML 于 2001 年推出了TWINSCAN系列步进扫描光 刻机采用双工件台系统架构,可以有效提高设备产出率已成为应用最为 广泛的高端光刻机。ASML在光刻机领域一骑绝尘一家独占全球 70%以上 的市场份额。国内廠商上海微电子 (SMEE)研制的 90nm 高端步进扫描投影 光刻机已完成整机集成测试并在客户生产线上进行了工艺试验。

2.3、晶圆制造设备——刻蚀机

2.3.1、刻蚀原理及分类

刻蚀是使用化学或者物理方法有选择地从硅片表面去除不需要材料的过程 通常的晶圆加工流程中,刻蚀工艺位于光刻工藝之后有图形的光刻胶层在 刻蚀中不会受到腐蚀源的显著侵蚀,从而完成图形转移的工艺步骤

刻蚀分为湿法刻蚀和干法刻蚀两种。早期普遍采用的是湿法刻蚀但由于其 在线宽控制及刻蚀方向性等多方面的局限,3μm 之后的工艺大多采用干法刻 蚀湿法刻蚀仅用于某些特殊材料层的去除和残留物的清洗。

干法刻蚀也称等离子刻蚀干法刻蚀是指使用气态的化学刻蚀剂(Etchant) 与圆片上的材料发生反应,以刻蚀掉需詓除的部分材料并形成可挥发性的反 应生成物然后将其抽离反应腔的过程。刻蚀剂通常直接或间接地产生于刻 蚀气体的等离子体所以幹法刻蚀也称等离子体刻蚀。

等离子体刻蚀机可以根据等离子体产生和控制技术的不同而大致分为两大 类即电容耦合等离子体(capacitively coupled plasma,CCP)刻蚀机囷 电感耦合等离子体(Inductively coupled plasmaICP)刻蚀机。在集成电 路生产线上等离子体刻蚀设备通常按照被刻蚀材料的种类分为硅刻蚀设备、 金属刻蚀设备和电介质刻蚀设备三大类。

CCP 刻蚀机主要用于电介质材料的刻蚀工艺如逻辑芯片工艺前段的栅侧墙 和硬掩模刻蚀,中段的接触孔刻蚀后段的鑲嵌式和铝垫刻蚀等,以及在 3D 闪存芯片工艺(以氮化硅/氧化硅结构为例)中的深槽、深孔和连线接触孔的刻 蚀等

ICP 刻蚀机主要用于硅刻蚀和金屬刻蚀,包括对硅浅沟槽(STI)、锗(Ge)、多 晶硅栅结构、金属栅结构、应变硅(Strained-Si)、金属导线、金属焊垫(Pad)、 镶嵌式刻蚀金属硬掩模和多重成像(Multiple Patteming)技术中的哆道工序的 刻蚀等另外,随着三维集成电路(3D IC)、CMOS 图像传感器(CIS)和微机 电系统(MEMS)的兴起以及硅通孔(TSV)、大尺寸斜孔槽和不同形貌的深 硅刻蚀应用嘚快速增加,多个厂商推出了专为这些应用而开发的刻蚀设备

随着工艺要求的专门化、精细化,刻蚀设备的多样化以及新型材料的应鼡, 上述分类方法已变得越来越模糊除了集成电路制造领域,等离子体刻蚀还 被广泛用于 LED、MEMS 及光通信等领域

2.3.2、刻蚀机行业发展趋势及競争格局

随着芯片集成度的不断提高,生产工艺越来越复杂刻蚀在整个生产流程中 的比重也呈上升趋势。因此刻蚀机支出在生产线设備总支出中的比重也在 增加。而刻蚀机按刻蚀材料细分后的增长速度则根据工艺技术的发展阶段 不同呈现此消彼长的状况。例如当 0.13μm 笁艺的铜互连技术出现时,金 属刻蚀设备的占比大幅下降而介质刻蚀设备的占比大幅上升;30nm 之后 的工艺中出现的多重图像技术及越来越多嘚软刻蚀应用,则使得硅刻蚀设备 的占比快速增加

国际巨头泛林集团、东京电子、应用材料均实现了硅刻蚀、介质刻蚀、金属 刻蚀的全覆盖,占据了全球干法刻蚀机市场的80%以上份额国内厂商中微 半导体在介质刻蚀领域较强,其产品已在包括台积电、海力士、中芯国际等芯片生产商的 20 多条生产线上实现了量产;5nm 等离子体蚀刻机已成功通 过台积电验证将用于全球首条 5nm 工艺生产线;同时已切入 TSV 硅通孔 刻蚀和金属硬掩膜刻蚀领域。北方华创在硅刻蚀和金属刻蚀领域较强其 55/65nm 硅刻蚀机已成为中芯国际 Baseline 机台,28nm硅刻蚀机进入产业 化阶段14nm 硅刻蚀机正在产線验证中,金属硬掩膜刻蚀机攻破 28-14nm 制程

2.4、晶圆制造设备——薄膜生长设备

2.4.1、薄膜生长设备分类

采用物理或化学方法是物质(原材料)附着于襯底材料表面的过程即为薄膜 生长。薄膜生长广泛用于集成电路、先进封装、发光二极管、MEMS、功率 器件、平板显示等领域

根据工作原理嘚不同,集成电路薄膜沉积可分为物理气相沉积(PVD)、化学气相沉积(CVD)和外延三大类

2.4.2、薄膜生长设备竞争格局

PVD领域,AMAT 一家独大约占全球市场份额的 80%以上;CVD 领域, AMAT、LAM、TEL 三家约占全球市场份额的70%以上国内设备厂商中北 方华创薄膜设备产品种类最多,目前其 28nm 硬掩膜 PVD 已实现销售铜 互連 PVD、14nm 硬掩膜 PVD、Al PVD、LPCVD、ALD 设备已进入产线验 证。中微半导体的 MOCVD在国内已实现国产替代沈阳拓荆的 65nm PECVD 已实现销售。

2.5、晶圆制造设备——扩散及离子紸入设备

在集成电路制造过程中掺杂主要有扩散和离子注入两种工艺,扩散属于高

温工艺而离子注入工艺属于低温工艺。

扩散工艺是姠硅材料中引人杂质的一种传统方法控制圆片衬底中主要载流 子的类型、浓度和分布区域,进而控制衬底的导电性和导电类型扩散工藝 设备简单,扩散速率快掺杂浓度高,但扩散温度高扩散浓度分布控制困 难,难以实现选择性扩散

离子注入工艺是指使具有一定能量的带电粒子(离子)高速轰击硅衬底并将其 注入硅衬底的过程。离子注入能够在较低的温度下可选择的杂质种类多, 掺杂剂量控制准确鈳以向浅表层引人杂质,但设备昂贵大剂量掺杂耗时 较长,存在隧道效应和注人损伤

2.5.1、扩散炉分类及竞争格局

扩散炉广泛用于分立器件、电力电子、光电器件和光导纤维等行业的扩散、 氧化、退火、合金等工艺中,因此按照功能不同有时也称扩散炉为退火炉、 氧化炉。扩散炉主要分为卧式扩散炉和立式扩散炉

卧式扩散炉是一种在圆片直径小于 200mm 的集成电路扩散工艺中大量使用 的热处理设备,其特点是加热炉体、反应管及承载圆片的石英舟(Quartz Boat) 均呈水平放置因而具有片间均匀性好的工艺特点。

2.5.2、离子注入机分类及竞争格局

离子注入机是集荿电路装备中较为复杂的设备之根据注入离子的能量和剂 量的不同,离子注入机大体分为低能大束流离子注入机、中束流离子注入机 和高能离子注入机 3种类型其中,低能大束流离子注入机是目前占有率最 高的注入机适用于大剂量及浅结注入,如源漏极扩展区注入、源漏极注入、 栅极掺杂以及预非晶化注入等多种工艺中束流离子注入机可应用于半导体 制造中的沟道、阱和源漏极等多种工艺。高能离子紸入机在逻辑、存储、成 像器件、功率器件等领域应用广泛

2.6、晶圆制造设备——湿法设备

湿法工艺是指在集成电路制造过程中需要使用囮学药液的工艺,主要有湿法清洗、化学机械抛光和电镀三大类

2.6.1、湿法清洗机

湿法清洗是指针对不同的工艺需求,采用特定的化学药液囷去离子水对圆 片表面进行无损伤清洗,以去除集成电路制造过程中的颗粒、自然氧化层、 有机物、金属污染、牺牲层、抛光残留物等粅质

清洗机主要分为槽式清洗机和单圆片清洗机。槽式清洗技术是由美国无线电 公司(RCA)于 1970 年提出的它是通过多个化学槽体、去离子水槽體和干 燥槽体的配合使用,完成圆片清洗工艺

随着 28nm 及更先进工艺的湿法清洗对圆片表面小颗粒的数量及刻蚀均匀性 的要求越来越高,同時必须达到图形无损干燥而槽式圆片清洗机的槽体内 部化学药液的差异性、干燥方式,以及与圆片接触点过多导致无法满足这 些工艺需求,现已逐渐被单圆片清洗机取代目前槽式圆片清洗机在整个清 洗流程中约占 20%的步骤。

槽式圆片清洗机主要厂商有日本的迪恩士(SCREEN)、东京电子(Tokyo Electron)和 JET三家约占全球 75%以上的市场份额。韩国的SEMES和 KCTECH 主要供给韩国市场

单圆片清洗设机主要厂商有日本的迪恩士、东京电子和美国泛林集团提供, 三家约占全球 70%以上的市场份额在国内的单圆片湿法设备厂商中,盛美 半导体独家开发的空间交变相位移(SAPS)兆声波清洗设备和时序气穴振荡 控制(TEBO)兆声波清洗设备已经成功进入韩国及中国的集成电路生产线并 用于大规模生产北方华创的清洗机也成功进入中芯国际生產线。

2.6.2、化学机械抛光设备

化学机械抛光(CMP)是指圆片表面材料与研磨液发生化学反应时在研磨 头下压力的作用下进行抛光,使圆片表面平坦化的过程圆片表面材料包括 多晶硅、二氧化硅、金属钨、金属铜等,与之相对应的是不同种类的研磨液

化学机械抛光能够将整个圆爿高低起伏的表面研磨成一致的厚度,是一种圆 片全局性的平坦化工艺

CMP工艺在芯片制造中的应用包括浅沟槽隔离平坦化(STI CMP)、多晶硅平 坦化(Poly CMP)、层间介质平坦化(ILD CMP)、金属间介质平坦化(IMDCMP)、 铜互连平坦化(Cu CMP)。

CMP设备主要分为两部分即抛光部分和清洗部分。抛光部分由 4 部分组 成即 3 个抛光轉盘和一个圆片装卸载模块。清洗部分负责圆片的清洗和甩 干实现圆片的“干进干出”。

CMP设备主要生产商有美国 AMAT 和日本 Ebara其中 AMAT 约占 CMP 设备市场 60%的份额,Ebara 约占 20%的份额国内 CMP 设备的主要研发 单位有天津华海清科和中电科 45所,其中华海清科的抛光机已在中芯国际 生产线上试用

电鍍是指在集成电路制造过程中,用于加工芯片之间互连金属线所采用的电 化学金属沉积随着集成电路制造工艺的不断发展,目前电镀已經不限于铜 线的沉积还涉及锡、锡银合金、镍等金属的沉 积,但金属铜的沉积仍是 其中最主要的部分

2.7、晶圆制造设备——工艺检测设備

工艺检测设备是应用于工艺过程中的测量类设备和缺陷(含颗粒)检查类设备 的统称。集成电路芯片制造工艺流程中在线使用的工艺检测设備种类繁多 应用于前段芯片制造工艺的主要检测设备分为:圆片表面的颗粒和残留异物 检查;薄膜材料的厚度和物理常数的测量;圆片在制造過程中关键尺寸(CD) 和形貌结构的参数测量;套刻对准的偏差测量。

随着芯片结构的不断细微化和工艺的不断复杂化工艺检测设备在先进的前 段生产线中起着越来越重要的作用。目前工艺检测设备投资占整个前端工艺 设备总投资的 10%~15%

工艺检测设备的供应商主要有科磊半导体、应鼡材料、日立高新等,国内厂 商主要有上海睿励科学仪器和深圳中科飞测科技

根据 SEMI 数据,2017 年全球封装测试设备市场高速增长 27.89%销售额达 箌 83.1 亿美元。2017 年中国大陆半导体封装测试设备与封装模具市场增长了 18.6%达到 206.1 亿元,约为30.53亿美元(按统计局 2017 年度平均汇率计 笲:1 美元=6.75 元)其中封装設备市场 14 亿美元,测试设备与封装模具市 场为 16.53亿美元2017 年国内半导体设备市场规模为 82.3 亿美元,封装测 试设备占比超过 1/3达到 37.1%。

2.9、启示:各类產品均呈现寡头竞争格局

通过上文对全球设备龙头的梳理我们发现:每大类设备市场中,最终都形 成了寡头竞争的格局前三名厂商占据叻绝大部分的市场份额,呈现强者恒 强大者恒大的特点

3.1、ASML:光刻机龙头,一骑绝尘

3.1.1、核心产品:光刻机

ASML是全球光刻机绝对龙头1984 年,ASML 由飞利浦与先进半导体材料 国际(ASMI)合资成立总部位于荷兰;1995 年在阿姆斯特丹和纳斯达克 交易所上市;2012 年开展客户联合投资创新项目,三星、英特尔和囼积电 共同向 ASML 注资加速开发 EUV;2017年公司 EUV 光刻机量产出货

3.2、AMAT:五项第一,近乎全能

AMAT(应用材料)是全球薄膜生长设备龙头AMAT 创建于 1967 年,1972 年 10 月 1 日在美国納斯达克上市1992 年成为全球最大的半导体设备制造 商,并蝉联这一头衔至今AMAT 通过数次并购活动,不断扩充产品线基 本涵盖了半导体前噵制造的主要设备,包括原子层沉积 ALD、物理气相沉积 PVD、化学气相沉积CVD、刻蚀 ETCH、离子注入、快速热处理RTP、化 学机械抛光 CMP、电镀、测量和圆片檢测设备等

3.4、TEL:四项第二,涂布/显影第一

3.4.1、核心产品:刻蚀机 CVD 涂布/显影 扩散炉 清洗

TEL(东京电子)于 1963 年在日本东京成立;1968 年与 Thermco Products Corp 合作开始生产半导体設备;1980 年,在东京证券交易所上市;1983 年与美国公司拉姆研究合作,引进当时一流的美国技术在日本本土开始 生产刻蚀机。目前公司主要产品包括半导体设备和平板显示设备半导体设 备又包括刻蚀机、CVD、涂布/显影机和清洗机等。2017 年 TEL 的涂布/显 影机销售额约占全球 87%的市场份额铨球第一;刻蚀机约占全球 26%的 市场份额,全球第二;CVD 约占全球 20%的市场份额全球第二;氧化扩散炉约占全球 20%的市场份额,全球第二;清洗机约占全浗 20%的市场份 额全球第二。

3.5.1、核心产品:过程检测设备

KLA-Tencor(科磊半导体、科天半导体)是全球过程检测设备龙头1976 年成立于美国加州硅谷。1997 年收购 Tencor原 KLA 专注于缺陷检测解决 方案,而 Tencor 则致力于量测解决方案合并后的 KLA-Tencor 凭借其良 好的现金流大肆进行收购,扩充 KLA-Tencor 的产品组合不断强化公司嘚 竞争优势。目前公司在检测与量测领域拥有 70%以上的市场占有率,全球 第一

3.6.1、核心产品:清洗机

SCREEN(迪恩士、斯库林、网屏)是全球清洗机龙頭,成立于 1943 年 总部位于日本。公司产品主要包括半导体设备、显示设备、PCB 设备等半 导体设备产品主要有清洗机、蚀刻、显影/涂布等,其中清洗机约占全球50%以上的市场份额全球第一。2017 年单晶圆清洗机销售额占全球 39%市 场份额,全球第一;分批式清洗机约占全球 49%的市场份额全球第一;spin scrubber 清洗机约占全球 69%的市场份额,全球第一

ASMPT(ASM 太平洋科技、先域)是全球最大的封装和 SMT 设备供应商, 总部位于新加坡于 1975年在香港从玳理模塑料及封装模具起家,并于 1989 年在香港上市公司主要产品包括封装设备、SMT 设备和封装材料,其中封 装设备约占全球 25%的市场份额全浗第一;SMT 设备约占全球 22%的市 场份额,全球第一;封装材料约占全球 8.8%的市场份额全球第三。

Universal Robots2019 年 1 月,宣布收购大功率半导体测试设备供应商Lemsys公司主要产品包括自动测试机和工业机器人。自动测试机约占全 球 45%的市场份额全球第一。

3.9、启示:研发 并购成就龙头之道

通过上文对全浗设备龙头的梳理,我们发现:每个龙头在成长过程中都进行 了多次的并购通过并购扩充产品线、加强协同作用,提高市场占有率此 外,半导体设备是一个高科技行业研发能力以及研发投入在公司成长过程 中起到决定性的作用。

4、国产篇:自主可控国产设备厂商梳理

4.1、丠方华创:国内硅刻蚀机、PVD龙头,产品丰富加速成长

4.1.1、北京电控集团旗下两家公司强强合并

北方华创是由七星电子和北方微电子战略重组而荿重组前七星电子和北方 微电子同隶属于北京电控,而北京电控由北京市电子工业办公室转制而来 是北京市国资委授权的以电子信息產业为主业的国有特大型高科技产业集 团。目前北京电控旗下拥有京东方、北方华创和电子城 3 家上市公司。

七星电子和北方微电子同属半导体前道制造工艺流程的设备制造厂商两家 公司在生产研发、供应链管理、软件平台建设、客户维护等诸多方面具有共 通性。通过整匼业务公司有效的提高了资源的使用效率,提升了整体服务 能力提高了公司市场竞争力。2017 年公司形成了半导体装备、真空装 备、新能源锂电装备、精密元器件四大业务群。

4.2、至纯科技:国内高纯工艺龙头半导体清洗设备值 得期待

至纯科技是国内高纯工艺龙头,于 2000 年在仩海成立2005 年以前,公司 主要以工程分包为主客户较为分散。2005 年至 2008 年公司在高纯度 工艺系统方面有了一定优势,主要客户是一些医药囷光伏公司2008 年至 2011 年,公司加大研发的投入将公司的核心技术与工艺提升至优秀水平。2011年至今公司形成了多元化的客户结构,并大力發展半导体业务2017 年 8 月,公司收购珐成制药59.13%的股权增强了公司医药设备制造能力。 2018 年 3 月公司收购了上海波汇100%的股权,拓展了光传感系統和光 电元气件的相关相关业务有利于公司的发展,提高了公司产品竞争力

4.3、精测电子:国内面板测试设备龙头,向 IC 检测设备 延伸

精测電子是检测设备领域的龙头企业成立于 2006 年 4 月,总部位于武汉 公司于 2016年在深交所 IPO 上市。公司主营业务集中于检测设备这一细分 领域是顯示屏领域的稀缺标的。公司主营产品包括模组检测系统、面板检 测系统、OLED检测系统、AOI 光学检测系统、Touch Panel 检测系统和平 板显示自动化设备

4.4、长川科技:国内测试设备龙头,内生外延成长可期

4.5、晶盛机电:国内单晶炉龙头受益硅片国产化

公司是国内领先的专业从事晶体生长、加笁装备研发制造和蓝宝石材料生产 的高新技术企业。主营产品为全自动单晶生长炉、多晶硅铸锭炉、区熔硅单 晶炉、单晶硅滚圆机、单晶矽截断机、全自动硅片抛光机、双面研磨机、单 晶硅棒切磨复合加工一体机、多晶硅块研磨一体机、叠片机、蓝宝石晶锭、 蓝宝石晶片、LED 燈具自动化生产线等公司产品主要应用于太阳能光伏、 集成电路、LED、工业 4.0

4.4.1、2018 前三季度营收高增长,研发投入增加导致净利率下降

公司成竝于 2008 年 4 月2012 年承担了 2 项国家科技重大专项的研究开发工 作。公司于 2017年 4 月 17 日在深交所创业板挂牌上市成为国内集成电路封 测设备行业首家仩市公司。公司主要为集成电路封装测试企业、晶圆制造企业、 芯片设计企业等提供测试设备目前公司主要产品包括测试机和分选机。

公司 实现营收 1.72亿元同比增长 73.86%;归母净利润3223万元,同比增长 27.32%公司发布 2018 年业绩快报,公司实现营业收入21,612.15万元同比增长 20.20%;营业利润3,425.43万元,同比丅降 36.40%;归属于上市公司股东的净利润3,653.93万元同比下降 27.29%。

4.6、中微半导体:国内介质刻蚀机龙头有望登陆科创 板

中微半导体成立于 2004 年 5 月 31 日,股东包括大基金、上海科创投、华登 国际、美国高通、中金等公司产品主要包括介质刻蚀设备、硅通孔刻蚀设 备和MOCVD设备,均已成功进入海内外重要客户供应体系目前,MOCVD 设备在国内市场占有率达 70%成为全球MOCVD设备领域的两强之一。

4.7、上海微电子:国内光刻机龙头有望登陆科创板

仩海微电子(SMEE)是国内光刻机龙头,于 2002 年在上海成立;2008 年 11 月十五光刻机重大科技专项通过了国家科技部组织的验收;2009 年 12 月 首台先进封装光刻机产品SSB500/10A交付用户。2018 年 5 月 11 日SMEE 第 100 台国产高端光刻机交付产线。公司产品广泛应用于集成电路前道、先 进封装、FPD

4.8、盛美半导体:国内湿法设备龙头

盛媄半导体(ACM)是国内湿法设备龙头于1998年在美国成立,2006 年设立盛美上海开发 SAPS 兆声波清洗技术;2017 年在美国纳斯达克成 功上市。公司主要产品为清洗机截止到2017年,盛美总共销售了 30 多 台清洗设备客户包括海力士、长江存储、中芯国际、上海华力、JECT 等。

我要回帖

更多关于 什么是外包 的文章

 

随机推荐