ionic2 ion list list 怎么添加倒角

您所在位置: &
&nbsp&&nbsp&nbsp&&nbsp
PCB常用词汇汇编修改版(中英文翻译).doc11页
本文档一共被下载:
次 ,您可免费全文在线阅读后下载本文档
文档加载中...广告还剩秒
需要金币:100 &&
你可能关注的文档:
··········
··········
A.O.I(Automatic Optical Inspection) 自动光学检查
acceptable quality level AQL 可接受质量水平
accuracy 精确度
active carbon treatment 活性碳处理
Rressed Thickness 压板后之厚度
alignment 样直、结盟
annular ring 锡圈
anti-Static Bag 静电胶袋
apparatus 设备、仪器
arwork 菲林
artwork Drawing 菲林图形
artwork Film 原装菲林
artwork Modification 菲林修改
artwork NO. 菲林编号
assembly 组装、装配
backplane 背板
back-up 垫板
baking 烘板
ball Grid Array BGA 球栅阵列
bare board 裸板
base Copper 底铜
base material 基材
beveling 斜边 black Oxide 黑氧化
bling iva hole 盲孔
blistering 起泡/水泡
board Cutting 开料
board Thickness 板厚
bottom side 底层
breakaway tab 打断点
brushing 磨刷
build-up 积层
bullet pad 子弹盘
buried hole 埋孔 C /M
component marking 元件字符
carbon ink 碳油
carrier 带板
ceramic substrate陶瓷
certificate of Compliance合格证书
chamfer倒角
chemical cleaning 化学清洗
chemical corrosion 化学腐蚀
chip Scale Package CSP 晶片比例包装
circuit 线路
clearance 间距/间隙
color 颜色
component Side C/S 元件面
composite layers 复合层
computer Aided Design CAD 电脑辅助设计
computer Aided Manufacturing CAM 电脑辅助制作
computer Numerial Control CNC 数控
conductor 导体
conductor width/space 导体线宽/线隙
contact 接点
copper area 铜面积
copper clad 铜箔
copper foil 铜箔
copper plating 电镀铜
corner 角线
corner mark 板角记号
corner REG.Hole 角位对位孔
cracking 裂缝
creasing 皱折
criteria 规格、标准
crossection area 切面
Cu /Sn Plating 镀铜锡
current efficiency 电流效率
customer 客户
customer Drilling File 客户钻孔资料
cusomer P/N 客户产品编号
D/F registration hole 干菲林对位孔
dry film 干膜
date code 日期代号
datum hole 基准参
正在加载中,请稍后...您所在位置: &
&nbsp&&nbsp&nbsp&&nbsp
机械、模具、注塑、品质专业英语.xls162页
本文档一共被下载:
次 ,您可免费全文在线阅读后下载本文档
文档加载中...广告还剩秒
需要金币:120 &&
机械、模具、注塑、品质专业英语.xls
你可能关注的文档:
··········
··········
英文字母顺序
中文拼音顺序
UCL Upper Centrl Limit
LCL Lower Centrl Limit
pipe grphite
CD Compct Disk
bright het tretment
bre electrode
opticl flt
opticl prllel
finish blnking
checking / crzing
SPEC Specifiction
stlloy(silicon steel plte)
roll forming mchine
roll forming mchine
brrel plting
brrel tumbling
voller pin formlity
bll bering
ISO Interntionl Stndrd Orgniztion
filter bse
overheting
overse loction
lge nozzle
Lmbd sensor
solder joint filure nlysis
weld line,sem
weld flow mrk
welding bed
soldering temperture
welding intervl
solder pste
soldering furnce
dissiption fctor
(be)qulified, up to grde
SSQ stndrdized supplier qulity
lloy tool steel
die ssembly
spotting,compound die,mold clmping
prting lock set
die spotting mchine
clmping force
pproved by
pproved by / checked by / prepred by
isotherml forging
Lterl runout
cross crnk
thickness guge
clernce ngle
CMOS Complemerury Metoll Oxide Semiconductor
slide blncer
cm block,sliding block,slide(slide core)
sliding rck
slipped screw hed/ slippery screw thred
chemicl plting
chemicl vpor deposition
loop tencity
environmentl lbortory
mbient humidity
environmentl dmge
mbient temperture
environmentl stress crcking test
mbient noise
impct dmper
grbge continer
bright electroplting
tempering crck
ssembly Return Vlve
rotry forging
/C ccountnt Dept
filed by ccounting deprtment for reference
quntity of customs count
djustble spnner
djustble wrench,monkey wrench ,coch wrench
ctive plte
floting plten
loose detil mold
chfing dish
flme tretment
torch-flme cut
flme hrdening
brekdown voltge
正在加载中,请稍后...拒绝访问 | www. | 百度云加速
请打开cookies.
此网站 (www.) 的管理员禁止了您的访问。原因是您的访问包含了非浏览器特征(380ce0fe760443cb-ua98).
重新安装浏览器,或使用别的浏览器当前位置: >>
陕西科技大学期末考试复习资料过程装备基础双语
Wet bulb temperature WBT 湿球温度计 Dry bulb temperature DBT 干球温度计 Positive displacement pumps PD pumps 正位移泵 Distributed control system DCS 分配控制系统 Total dissolved solids TDS 总溶解浓度 Alternating curr
ent AC 交流 Direct current DC 直流 Process and instrument drawings PID 过程仪表图 Process flow diagrams PFD 过程流程图 Net positive suction head NPSH 净正吸入水头 Relative humidity RH 相对湿度 American society of mechanical engineers ASME 美国机械工程师协会 British thermal unit Btu 英国热量单位制 Super high pressure SHP 超高压 High pressure HP 高压 Medium pressure MP 中压 Low pressure LP 低压 Differential pressure DP 压力差 Cooling water CW 冷却水 Boiler feed water BFW 锅炉给水 Raw water RW 原水 Fire water FW 消防水 Pound per square inch PSI 磅每平方英寸 gauge pressure Psig 表压 Absolute pressure Psia 绝对压力 Revolution per minute RPM 转每分 Resistive(resistance) temperature detector RTD 电阻式温度检测器 American boiler manufactures association ABMA 美国锅炉制造协会 Gallons per minute GPM 加仑每分 Global position system GPS 全球定位系统 Natural gas NG 天燃气陕西科技大学 试题纸( 课程 过程装备基础(双语) 2002 学号 题号 得分 一 二 三 四 五 班级 姓名 六 七 八 九 十 总分第 1 页 共 130 页 阅卷人一,根据缩写符号写出英文全称并进行汉语解释。(15 分) 1.DCS 2.ASME 3.PID 4.PFD 5.BFW 6.WBT 7.NPSH 8.AC 9.RTD 10.RH 11.Btu 12.RPM 13.Psi 14.GPS 15.CW 二,单词拼写(20 分) 1,层流 2,湍流 3,轴流式压缩机 4,粘度 5,密度 6,浓度 7,饱和 8,蒸馏塔 9,萃取 10,吸附 11,结晶 12,过滤 13,腐蚀 14,气蚀 15,点蚀 16,曲轴 17,止推轴承 18,公称直径 19,冷凝器 20,大气压力 三,连线题(10 分) 1, Boiling point a, means of heat transfer through a solid,nonporous the material resulting from molecular vibration第 2 页 共 130 页 2,sensible heat opposite directions 3,material balance 4,hydrocracking points 5,differential pressure from currents 6,conduction vaporb,refers to the movement of two flow streams inc,a heat that can be sensed with a thermometer d,measures the difference in pressure between twoe,the means of heat transfer in fluids resulting f,the temperature at which a liquid turns to7,convection g,use of a multistage fixed bed reactor system to boost yields of gas from crude oil by splitting heavy molecules into lighter ones 8,counterflow h,principle that sum of the products leaving equals the feed entering the distillation column 9,nozzle j,a device designed to restrict flow and convert pressure into velocity 10,scale k,the result of suspended solids' adhering to internal surfaces of equipment in the form of deposits 四,简答题(25) 1,use english to express the following equations(4 分) (1)a + b= c (2) a―b=c(3)a x b =c (4)a \ b =c 2,use english to express the following chemical equations (6 分) Ch4 + O2 = CO2 +H2O 3,list five types of valves(5 分) 4,the classification of cooling towers(5 分) 5,list the five basic elements of a control loop, then translate it into chinese(5 分)五,翻译(30 分)conveyor 传送器 Inspection 视察,检查 Investment 投资;投入 Nominal size 公称直径 Fahrenheit 华氏温标 Celsius 摄氏温标 Flange 法兰第 3 页 共 130 页 Jacketed 夹套的 gutter 排水沟 trace 微量 plant 工厂,车间 manufacturer 制造商厂商 capacity 容量 precaution 预防措施 corrosion 腐蚀 sheet 薄板 axial 轴的;轴向的 rotary 旋转的,转动的 reciprocating 往复的 Volute 螺旋形 涡形 涡螺 component 成分 元件 组件 impeller 叶片 value 涵值 parameter 参数;参量;系数 pulsation 震动; turbulence 湍流 crankshaft 曲轴 shaft 轴 compressor 压缩机 molecular 分子的 converter 转换器 symbol 符号 turbine 涡轮;涡轮机 motor 电机 radiant 辐射的 conduction 传导 laminar flow 层流 convection 对流 turbulent flow 紊流 fouling 污垢 scale 水垢 parallel flow 平行流 series 串联 hairpin 发簪式 tube 管 shell 壳 spiral 螺旋形的 pipe 管 saturate 使饱和 dew 露点第 4 页 共 130 页 components 部件 组件 evaporation 蒸发 Vaporize 挥发 contamination 污染物 Distribution 分配 basin 水池 Splash 污点 eliminator 消除器 drift 漂流 corrosive 腐蚀的 deposit 沉淀物 Troubleshooting 故障检修 decay 腐烂 generators 发电机 furnace 火炉,熔炉 drums 鼓筒 burner 燃烧炉 economizer 省煤器 trap 诱捕 float 漂流物 bellow 轰鸣声 combustible 易燃的 methane [化]甲烷;沼气 ethane 【化】乙烷 propane [化]丙烷 combustion 燃烧,氧化 structure 构造; refractory 难熔的 layer 层 register 寄存器 draft 草稿;草图 instrumentation variables 变量 transmitter 转换器 transducer 传感器 变换器 换能器 constant 常数 恒量 automatic 自动机械 interlock utility 互锁 连锁 公共设施 使用仪器raw material 原材料 impurities 杂质 resin 树脂 solution 溶液 particles 粒子第 5 页 共 130 页 coagulation 凝固 凝结 凝结物 precipitation 沉淀 沉淀物 latent heat 潜热 Sensible heat 显热 mechanism 机械装置; separator 分离器 tanks 罐 hydrogen 氢 hydroxyl 羟基,氢氧基 acid 酸; acidity 酸度;酸性 Material balance 物料守恒 catalyst 催化剂 batch 间隙 unleaded 无铅的 Lead alloys 铅合金 synthesis 综合体 devices 设备;装置 extraction 萃取 separation 分离 adsorption 吸附 stripping 剥离 purification 净化;提纯 crystallize 使结晶 solvent 溶剂过程装备基础双语常考单词汇总 Condenser 冷凝器 Inspection 视察,检查 Investment 投资;投入 Nominal size 公称直径 Fahrenheit 华氏温标 Celsius 摄氏温标 Crystallization 结晶 Density 密度 Concentration 浓度 trace 微量 plant 工厂,车间 Refinery 精炼厂 Intensity 强度 precaution 预防措施 corrosion 腐蚀第 6 页 共 130 页 axial bearing 止推轴承 rotary 旋转的,转动的 reciprocating 往复的 parameter 参数;参量;系数 turbulence 湍流 crankshaft 曲轴 Axial compressor 轴流式压缩机 molecular 分子的 radiant 辐射的 conduction 传导 laminar flow 层流 convection 对流 turbulent flow 紊流 scale 水垢 parallel flow 平行流 series 串联 saturation 饱和 evaporation 蒸发 contamination 污染物 Distribution 分配 corrosion 腐蚀 Troubleshooting 故障检修 instrumentation 使用仪器 variables 变量 transmitter 转换器 transducer 传感器 变换器 换能器 constant 常数 恒量 raw material 原材料 solution 溶液 particles 粒子 latent heat 潜热 Sensible heat 显热 acidity 酸度;酸性 Material balance 物料守恒 catalyst 催化剂 unleaded 无铅的 devices 设备;装置 extraction 萃取 separation 分离 adsorption 吸附 stripping 剥离 purification 净化;提纯 Distillation column 蒸馏塔第 7 页 共 130 页 solvent 溶剂 Filtration 过滤 Cavitation 气蚀 Pit 点蚀 Vaporization 挥发 Absorption 吸收 Countercurrent 逆流陕西科技大学 试题纸( 课程 过程装备基础(双语) 2002 学号 题号 得分 阅卷人 一 二
三 四 五 六 七 八 班级 过控 101 姓名 九 谭礼斌 十 总分一,根据缩写符号写出英文全称并进行汉语解释。(15 分) 1.DCS distributed control system 分布控制系统 2.ASME American Society of Mechanical Engineers 美国机械工程师协会 3.PID process and instrument drawing 过程流程图 4.PFD process flow diagram 过程仪表图 5.BFW boiler feed water 锅炉给水 6.WBT wet bulb temperature 湿球温度 7.NPSH net positive suction head 正净吸收水头 8.AC alternating current 交流 9.RTD resistive temperature detector 热电阻式温度检测器 10.RH relative humidity 相对湿度 11.Btu British thermal unit 英国热量学单位 12.RPM revolutions per minute 转每分 13.Psi pound per square inch 磅每平方英寸 14.GPS global position system 全球定位系统 15.CW cooling water 冷却水 二,单词拼写(20 分)第 8 页 共 130 页 1,层流 laminar flow 2,湍流 turbulent flow 3,轴流式压缩机 axial flow compressor 4,粘度 viscosity 5,密度 density 6,浓度 concentration 7,饱和 saturation 8,蒸馏塔 distillation column 9,萃取 extraction 10,吸附 adsorption 11,结晶 crystallization 12,过滤 filtration 13,腐蚀 corrosion 14,气蚀 cavitation 15,点蚀 pit 16,曲轴 crankshaft 17,止推轴承 axial bearing 18,公称直径 nominal size 19,冷凝器 condenser 20,大气压力 atmospheric pressure 三,连线题(10 分) F 1 , Boiling point solid,nonporous molecular vibration C 2,sensible heat opposite directions H 3,material balance G 4,hydrocracking two D 5,differential pressure from currents A 6,conduction vapor E 7,convection system to boost yields of gas from splitting heavy molecules into lighter B 8,counterflow equals the feed entering the distillation column J 9,nozzlea , the means of heat transfer through a material resulting fromb,refers to the movement of two flow streams inc,a heat that can be sensed with a thermometer d,measures the difference in pressure between points e,the means of heat transfer in fluids resulting f,the temperature at which a liquid turns tog,use of a multistage fixed bed reactor crude oil by ones h,principle that sum of the products leaving j,a device designed to restrict flow and convert第 9 页 共 130 页 pressure into velocity K 10,scale k,the result of suspended solids' adhering to internal surfaces of equipment in the form of deposits 四,简答题(25) 1,use english to express the following equations(4 分) (1)a + b= c (2) a―b=c(3)a x b =c (4)a \ b =c A plus b equals to c; a minus b equals to c; a times b equals to c; a divided by b equals to c 2,use english to express the following chemical equations (6 分) Ch4 + O2 = CO2 +H2O Methane reacts with hydrogen to form carbon dioxide and water 3,list five types of valves(5 分) Check valves,relief valves,safety valves,ball valves,globe valves 4,the classification of cooling towers(5 分) Atmospheric cooling tower,natural draft cooling tower, forced draft cooling tower, induced draft cooling water 5,list the five basic elements of a control loop, then translate it into chinese(5 分) Measurement device 测量装置 Transmitter 变送器 Controller 控制器 Transducer 转换器 Final control element 最终控制单元五,翻译(30 分)压力容器专业词汇AB类 安全阀 安装 鞍式支座 凹面 半球形封头 棒 保温支撑 爆炸性 泵 变径段 标记 标志 标志的移植 Category A B Safety valve Installation saddle support concave Hemispherical heads bars insulation support explosive pump reducers stamping marking Transfering marking第 10 页 共 130 页 波纹板 补强管 补强圈 不锈钢 不圆度 材料 材料证明书 超声检验 衬里 成型 成型封头 尺寸 翅片管 冲击试验 储罐 传热面积 磁粉检验 次要应力 粗糙度 淬火 带折边的锥形 弹簧 弹簧垫圈 弹性模量 挡板 低合金钢容器 低温容器 地震烈度 垫板 垫片 垫圈 碟形封头 顶丝 定距管 定位销 定义 毒性 镀锌容器 锻件 对焊法兰 耳座 阀门 法兰 法兰接触面Corrugating Paper reinforcing nozzle reinforcing ring stainless steel out-of-roundness materials Certification of material Ultrasonic Examination Linings Forming Formed heads Dimensions finned tubes impact test storage tank heat transfer surface Magnetic Particle Examination secondary stress roughness Quenching toriconical springs spring washer modulus of Elasticity baffle plate low alloy steel vessels Low-temperature vessels seismic intensity Backing strip gasket washer Dished heads jbckscrew spacer pin dowel Definitions toxicity Galvanized vessels Forgings welding neck flange lug valves flanges Flange contact facings第 11 页 共 130 页 防冲板 impingement baffle 防腐蚀衬里 Corrosion resistant linings 防火 fire protection 防涡流挡板 vortex breaker 非受压件 nonpressure parts 非圆形容器 Noncircular vessels 峰值应力 peak stress 腐蚀裕量 corrosion allowance 附加载荷 supplementary loading 附件 attachments 复合板 Clad plate 覆层容器 clad vessels 盖板 Cover plates 杆 rods or bars 过渡段 transition in 过渡圆角 Knuckles 焊后热处理 after postweld heat treating 焊接工艺 welding procedure specification 焊接接头 welding joints 焊接系数 welding coefficient 厚度 thickness 滑动的 sliding 环向应力 hoop stress 回火 tempering 基本地震加速度 basic seismic acceleration 基本分压 basic wind pressure 计算厚度 calculated thickness 技术条件 form of Specification 加强圈 stiffening rings 夹套容器 Jacketed vessels 检查孔 Inspection openings 检验 inspection 角焊 Fillet welds 接地板 earth lug 截止 Stop valves 介质特性 fluid property 金属温度 Metal temperature 筋板 rib plate 径向应力 radial stress 静(压力)水头 static head 局部 areas 局部 local 局部焊后热处理 Local postweld heat treatment 矩形设计 rectangular design第 12 页 共 130 页 卡箍 开孔补强 快开盖 拉杆 裂缝 流体(介质) 螺孔 螺母 螺塞 螺栓 螺纹 螺柱 名义厚度 铭牌 内部构件 内衬筒 盘管 配件 膨胀节 平封头 评定 气孔 气压试验 钎焊 强度 球形封头 曲率 屈服 全容积 缺陷 群座 热处理 热处理 热应力 人孔 韧性 容积 容器 容器净重 容器类别 塞焊 设计压力 射线超声检验 渗透检验clamp reinforcement for openings Quick-actuating closures tie rod Cracking fluid holes for screw nut plug bolt threaded studs nominal thickness Name plates Internal structures internal shell coil tube fittings expansion joint Flat heads Qualification Porosity Pneumatic test brazing strength of spherically dished curvature yielding total volume defects skirt support thermal treatment heat treatmen thermal stress manholes ductility volume vessel empty weight vessel classification Plug welds design pressure Radiographic Examination Penetrant Examination第 13 页 共 130 页 石墨 graphite 试样 Test coupons 适用范围 Scope 手孔 (ha)ndholes 水压试验 hydrostatic test/hydraulic test/hydrotest 碳钢 carbon steel 搪玻璃容器 enameled vessels 梯子、平台 ladders, platforms 填充金属 filler metal 筒体 shell 凸面 convex 凸缘 socket 椭圆封头 Ellipsoidal heads 外压容器 vessels subjected to external pressure 未注尺寸公差 tolerance grade n0t noted 无支撑 unstayed 系数 fact0r 现场安装 Fie1d assemb1y 校核 checking 0f 泄放 (Disc)harge 泄压装置 Pressure relieving (dev)ices 性能 properties 许用工作压力 al1owable working pressure 许用应力 al1owable stress 易然的 flammable 应力腐蚀 stress corrosion 应力集中 stress concentration 预热 Preheating 圆度 roundness 圆角和倒角 corners+fillets 载荷 Loadings e(xpa)nded c0nnections 折流板 baffle plate 蒸发器 (Evap)orators 直边长度 length 0f skirt 直径 Diameter 制造 fabrication 制造厂 fabricator 制造方法 methods 0f fabrication 制造工艺 fabrication technology 周长 Girth 主应力 primary stress 柱状壳体 Cylindrical shells 铸铁容器 cast iron vessels第 14 页 共 130 页 转角半径 装配 锥度 锥壳 锥形封头 资格 纵向接头 组对knuckle radius assembling tapered conical shell Conical heads qualification Longitudinal joints fitting up无损检测专业词汇a cluster of flaws 密集区缺陷 A.C magnetic saturation 交流磁饱和 ablution 清洗 Absorbed dose rate 吸收剂量率 Absorbed dose 吸收剂量 Acceptanc limits 验收范围 Acceptance level 验收水平 验收标准 acceptance specification 验收规范 Acceptance standard 验收标准 Accessories 附件 配件 辅助设备 辅助器材 Accumulation test 累积检测 Accuracy 精确度 准确度 acetone 丙酮 Acoustic emission (AE) 声发射 Acoustic emission count 声发射计数 Acoustic emission transducer 声发射换能器 Acoustic holography 声全息术 Acoustic impedance matching 声阻抗匹配 Acoustic impedance method 声阻法 Acoustic impedance 声阻抗 Acoustic wave 声波 Acoustical lens 声透镜 Acoustic-ultrasonic 声-超声(AU) across 交叉 横过 Activation 活化 Activity 活度 additional stress 附加应力 address: 地址:? Adequate shielding 适当防护、适当屏蔽 AE 声发射 air header 集气管 &air set 空气中凝固, 常温自硬 自然硬化& air supply 气源第 15 页 共 130 页 aisle 过道 走廊 alarm condition 报警状态 alarm level 报警电平 Alignment 对准 定位调整 校直 alkaline battery 碱性电池 allowable variation 允许偏差 容许变化 alternating current 交流电 aluminum powder 铝粉 amount 数量 Ampere turns 安匝数 amplifier panel 放大器面板 Amplitude 振幅、幅度 analyzer 分析器 anchor bolt 锚定螺栓 地脚螺栓 Angle beam method 斜射法、角波束法 Angle beam probe 斜探头、角探头 angle fitting 弯头 angle iron 角钢 角铁 Angle of incidence 入射角 Angle of reflection 反射角 Angle of spread 扩散角 Angle of squint 偏向角、偏斜角 Angle probe 斜探头、角探头 angle square 角尺 angle steel 角钢 Angstrom unit 埃(长度单位) appearance 外观 application drawing 操作图 应用图 arc cutting 电弧切割 arc gouging 电弧刨削 arc starting 起弧 arc welding 电弧焊 Area amplitude response curve 面积振幅响应曲线 Area of interest 评定区、关注区域 argon arc welding 氩弧焊 Arliflcial disconlinuity 人工不连续性、人工缺陷 arrangement diagram 布置图 arrival time interval(Δtij) 到达时间差(Δtij) Artifact 人为缺陷 Artificial defect 人工缺陷 A-scan A 型扫描 A-scope A 型显示 assembly 装配 At present 目前第 16 页 共 130 页 Attenuation coefficient 衰减系数 Attenuator 衰减器 Audible leak indicator 音响泄漏指示器 automatic temperature recorder 温度自动记录器 Automatic testing 自动检测 Autoradiography 自动射线照相术 axiality 同轴度 轴对称性 axonometric drawing 轴测图 back-feed 反馈 background target 目标本底 background 背景 本底 backwall echo 底波 底面回波 baiting valve 放料阀 band plate 带板 banjo fixing 对接接头 Barium concrete 钡混凝土 Barn 靶 Base fog 片基灰雾 base material 基底材料 basic sensitivity 基准灵敏度 Bath 槽液、浴池、槽 Bayard-Alpert ionization gage B-A 型电离计 Beam angle 波束角、束张角 Beam axis 声束轴线 Beam path location 声程定位、声束路径位置 Beam path 声程、声束路径 Beam ratio 光束比 Beam spread 声束扩散 Beam 声束、光束 bellow type 波纹管式 bend 弯管弯头 弯曲 bending deformation 挠曲变形 弯曲变形 Bending 挠曲 弯曲 Betatron 电子感应加速器 beveled edges 坡口 beveling 磨斜棱 磨斜边 成斜角 Bid 投标 出价 Bimetallic strip gage 双金属片计 Bipolar field 双极磁场 bisectrix 等分线 Black and White Transmission Densitometer 黑白透射密度计 Black light filter 黑光滤波器、黑光过滤片 Black light 黑光,紫外光 Blackbody equivalent temperature 黑体等效温度第 17 页 共 130 页 Blackbody 黑体 Bleakney mass spectrometer 波利克尼质谱仪 Bleedout 渗出、漏出 blind plate 隔离盲板 盲板 blind 挡板 窗帘 blinding plate 盲板 block up 封堵 垫高 blowhole 喷水孔 通风孔 通气孔 (气)孔 铸孔 砂[气]眼 气泡 bolt 螺栓 螺钉 bolton 螺栓紧固 bonding wire 接合线 焊线 boost pressure 升压 both sides welding 双面焊接 Bottom echo 底波 底面回波 bottom plate 底板 Bottom surface 底面 Boundary echo 边界回波、界面回波 branch connection 分支接续 分支连接 Brand name 商标名称 品牌 breaking of contact 断接卡 Bremsstrahlung 轫致辐射 bridge 桥 Broad-beam 宽射束 宽(声、光)束 Brush application 刷涂 B-scan B 型扫描 B 扫描 B-scan presentation B 型扫描显示 B-scope B 型显示 buckle 满扣 扣住 buckling deformation 翘曲变形 buried depth 埋深 burn through 烧蚀 烧穿 bus duct 母线槽 butt joint 对接 butt jointing 对接接头 butt weld 对接焊缝 butt welding 对接焊 cable armor 电缆铠装 cable channel 电缆槽 电缆管道 cable fitting 电缆配件 cable gland 电缆衬垫 cable laying 电缆敷设 cable routing 电缆路由选择 Cable sheath 电缆包皮层 cable testing bridge 电缆测试电桥第 18 页 共 130 页 cable tray 电缆盘 cable trunk 电缆管道 电缆主干线 calculation sheet 计算书 Calibrated Density Reference Scale 标准密度校验片 Calibration instrument 校准仪器 calorimeter 热量计 Capillary action 毛细管作用 Capping ends 顶盖末端 carbon steel tube 碳钢管 carbon steel 碳钢 Carrier fluid 载液 Carry over of penetrate 渗透剂转入 cascade connection 串联连接 Cassette 暗盒 Cathode 阴极 cathodic protection system 阴极保护系统 caulking metal 填隙合金[金属](材料) CCD Camera 电荷耦合摄像机 cement lined piping 水泥衬里管线 center bearing bracket 中心支架 center line 中心线 center plate 中心板 拨盘 Central conductor method 中心导体法 Central conductor 中心导体 中线(三相四线制) centralized 集中的 centripetal canting pull rope 向心斜拉索 certification of fitness 质量合格证书 channel bases 沟渠基底 channel steel expansion ring 槽钢胀圈 Characteristic curve of film 胶片特性曲线 Characteristic curve 特性曲线 Characteristic radiation 特征辐射 标识辐射 Charge Coupled Device 电荷耦合器件(简称 CCD) &check against 检查, 核对& check valve 止回阀 Chemical fog 化学灰雾 chipping 修琢 chronometer 精密计时表 Ci 居里 Cine-radiography 射线(活动)电影摄影术 连续射线照相 Circuit breaker 断路开关 circular array 圆形阵列 Circumferential coils 圆环线圈 Circumferential field 周向磁场 环形磁场第 19 页 共 130 页 circumferential joint 周圈接缝 Circumferential magnetization method 周向磁化法 circumferential weld 环焊缝 civil engineer 土木工程师 civil works 土建工程 建筑工程 clamp 夹钳 clamping fixture 胎具 夹具 Clean 清理 Clean-up 清除 Clearing time 定透时间 coaxial cable 同轴电缆 cockle stairs 螺旋梯 Coercive force 矫顽力 Coherence length 相干长度(谐波列长度) Coherence 相干性 Coil method 线圈法 Coil reference 线圈参考(参照线圈) Coil size 线圈尺寸 Coil spacing 线圈间距 Coil technique 线圈技术 线圈法 Coil test 线圈试验 Coincidence discrimination 符合性鉴别 一致性鉴别 cold lap 冷隔 Cold-cathode ionization gage 冷阴极电离计 collar extension 环口 Collimation 准直 Collimator 准直器 collision 碰撞 冲突 color identification 彩色识别 Combined colour contrast and fluorescent penetrant 着色荧光渗透剂 commencement 开始 company 公司 comply with 遵守 component part 构件 (组合)零件 部件 comprehensive analysis and judgement 综合分析判断 Compressed air drying 压缩空气干燥 compression joint 压接 压力接合 compression pump 压缩机 压气机[泵] Compressional wave 压缩波 Compton scatter 康普顿散射 Computed Radiography(CR)计算机辅助射线成像技术 condensation 冷凝 conducting wire 导线 conductive paste 导电膏第 20 页 共 130 页 conduit box [电]导管分线匣 conduit entry 导管引入装置 conduit outlet 电线引出口 connector 接线器 连接器 Console 控制台 construction work 施工工程 consumable insert (焊接)自耗嵌块 consumer 用户 Contact pads 接触垫 contactor 触头 接触器 触点 开关 content gauge 液位计 Continuous emission 连续发射 Continuous linear array 连续线性阵列 Continuous method 连续法 Continuous spectrum 连续谱 Continuous wave 连续波 Continuously Welded (CW) 连续焊 Contrast agent 对比剂 造影剂 Contrast aid 反差增强剂 Contrast sensitivity 对比灵敏度 Contrast stretch 对比度扩展 Contrast 对比度 衬度 control cable 控制电缆 操纵索 control console 控制台 Control echo 控制回波 control unit 控制单元 control valve actuator 阀控传动机构 control valve 控制阀 control wiring 控制线路 control 控制 控制器 convenience receptacle 电源插座 convexity 凸面 Copper intensifying screens 铜增感屏 core rod 芯棒 corresponding 相应的 cotton fibre 棉质纤维 Couplant 耦合剂 Coupling losses 耦合损失 Coupling medium 耦合介质 Coupling 耦合 CR(Computed Radiography)计算机辅助射线成像技术 Cracking 破裂 裂纹 裂化 裂解 crate 板条箱 柳条箱 crater crack (焊接)弧坑裂纹第 21 页 共 130 页 Creeping wave 爬波 蠕变波 Critical angle 临界角 Cross section 横截面 Cross talk 串音 Cross-drilled hole 横孔 crossed yoke 交叉磁轭 Crystal 晶片 晶体 C-scan C 型扫描 C 扫描 C-scope C 型显示 C-shape detector C 型探测器(X 射线实时成像) &cubicle 室,箱& Curie point 居里点 Curie temperature 居里温度 Curie(Ci) 居里 current attenuation 电流衰减 Current flow method 通电法 电流法 Current induction method 电流感应法 Current magnetization method 电流磁化法 cushion 垫层 衬垫 Cut-off level 截止电平 cutting opening 切孔 切开 Cutting 切割 CW (Continuously Welded) 连续焊 Dark room packing 暗室包装(在暗室条件下将 X 射线胶片装入暗盒) data logger 数据记录器 datum mark 基准点 Dead zone 盲区 死区 Decay curve 衰变曲线 Decibel(dB) 分贝 Defect detection sensitivity 缺陷探测灵敏度 defect evaluation zone 缺陷评定区 Defect resolution 缺陷分辨力 Defect 缺陷 Definition 清晰度 定义 delivery 发货 Demagnetization factor 退磁因子 退磁系数 Demagnetization 退磁 Demagnetizer 退磁装置 退磁器 Densitometer 黑度计 密度计 Density comparison strip 黑度比较片 密度比较条 Density Strip 黑度比较片 密度比较条 Density 黑度(底片)密度 depth scan 深度扫描 description 说明 描述第 22 页 共 130 页 design pressure 设计压力 Detecting medium 检验介质 Detergent remover 洗净液 去垢剂 Developer aqueous 水性显像剂 Developer dry 干式显象剂 Developer liquid film 液膜显象剂 Developer nonaqueous(suspend) 非水(悬浮)显象剂 Developer station 显像工位 显影台 Developer 显像剂 显影剂 Developing time 显像时间 显影时间 Development 显影 differential discriminator (电子)差动式鉴频器 Diffraction mottle 衍射斑点 衍射斑纹 Diffuse indications 扩散指示 Diffusion 扩散 漫射 digital detector 数字探测器(X 射线实时成像) Digital display 数字显示 数显 Digital image acquisition system 数字图像采集系统 Digital Radiography(DR)数字射线成像技术 Digital Thermometer 数字温度计(用于测定胶片处理液的温度) Digital timer for darkroom 暗室用计时器(在暗室中可调及报警) Dilatational wave 膨胀波 疏密波 dimensional inspection 尺寸检验 Dip and drain station 浸渍和流滴工位 浸渍与滴落台 Direct contact magnetization 直接接触磁化 Direct contact method 直接接触法 Direct exposure imaging 直接曝光成像 directional beam 定向辐射(指定向辐射的工业 X 射线机) Directivity 指向性 disassembly and assembly 拆装 Discontinuity 不连续性 Distance marker 距离标志 Distance-gain-size DGS 曲线(距离-增益-尺寸曲线) (DGS 德文为 AVG) &distribution board 配电盘, 配电屏& Dose equivalent 剂量当量 Dose rate meter 剂量率计 dose 剂量 Dosemeter 剂量计 dosimeter 剂量计 Double crystal probe 双晶探头 Double probe technique 双探头法 Double transceiver technique 双发双收法 Double traverse technique 双光路技术 doubleskin 重皮第 23 页 共 130 页 down lead 引下线 DR(Digital Radiography)数字射线成像技术 Dragout 废酸洗液 Drain time 滴落时间 排液时间 drain 排水管 排水沟 排水道 排水 draught 气流 Drift 漂移 Dry developer 干式显像剂 Dry developing cabinet 干式显像柜 Dry method 干法 Dry powder 干粉 Dry technique 干法 Drying oven 干燥箱 干燥炉 Drying station 干燥工位 干燥台 Drying time 干燥时间 drying 烘干 D-scan D 型扫描 D-scope D 型显示 dual element transducers 双晶探头 双晶片换能器 Dual search unit 双探头 双探测装置 双探测器 Dual-focus tube 双焦点(X 射线)管 due date 到期日 预定日期 Duplex wire type Image Quality Indicator 双线型像质指示器 双线像质计 Duplex-wire image quality indicator 双线像质指示器 双线像质计 duplicate part 备件 Duration 持续时间 Dwell time 停留时间 dye penetrant examination 着色渗透检验 Dye penetrant 着色渗透剂 Dynamic leak test 动态泄漏检测 Dynamic leakage measurement 动态泄漏测量 Dynamic radiography 动态射线照相法 Dynamic range 动态范围 earth resistance 接地电阻 earth wire 接地线 地线 earthing device 接地装置 earthing pole 接地极 Echo frequency 回波频率 Echo height 回波高度 Echo indication 回波指示 Echo transmittance of sound pressure 声压往复透过率 Echo width 回波宽度 Echo 回波 echodynamic patterns 回波动态波型第 24 页 共 130 页 eddy current coil 涡流检测线圈 Eddy current flaw detector 涡流探伤仪 eddy current probe 涡流检测探头 Eddy current testing 涡流检测 Eddy current 涡流 涡电流 Edge echo 棱边回波 Edge effect 边缘效应 Edge 边缘 棱边 Effective depth penetration (EDP) 有效穿透深度 有效透入深度 Effective focus size 有效焦点尺寸 Effective magnetic permeability 有效磁导率 Effective permeability 有效磁导率 有效渗透率 相对渗透率 Effective reflection surface of flaw 缺陷有效反射面 Effective resistance 有效电阻 Elastic medium 弹性介质 elbow 弯管接头 管肘 Electric displacement 电位移 electric force compounded grease 电力复合脂 electric heat tracing 电伴随加热 electric machine 电机 electric pressure 电压 electrical appliance 电器 Electrical center 电中心 electrical material 电气材料 &electrical panel 配电板,配电盘& electrified 带电 Electrode 电极 电焊条 Electrolytic Sliver recovery unit 电解银回收装置(从定影液中回收银) Electromagnet 电磁铁 Electro-magnetic acoustic transducer 电磁声换能器 Electromagnetic induction 电磁感应 Electromagnetic radiation 电磁辐射 Electromagnetic testing 电磁检测 Electro-mechanical coupling factor 机电耦合系数 electron linear accelerator 电子直线加速器 Electron radiography 电子辐射照相术 Electron volt 电子伏恃 Electronic linear scans (E-scans) 电子线性扫描 Electronic noise 电子噪声 Electronic scanning (E-scans) 电子扫描(E-扫描) Electrostatic spraying 静电喷涂 E-mail: 电子邮件:? 电子邮箱: embedded part 预埋件 嵌入[埋置]部分 emission count (声)发射计数第 25 页 共 130 页 Emulsification time 乳化时间 Emulsification 乳化 Emulsifier 乳化剂 Encircling coils 环形线圈 End effect 端部效应 端点效应 &end socket 端头, (钢索的)封头& Energizing cycle 激励周期 enfoldment 折迭 envelope 包络 包迹 environment visible light 环境可见光 Equalizing filter 均衡滤波器 平衡滤波器 equipment 器材 设备 Equivalent I.Q.I. Sensitivity 当量象质指示器灵敏度 Equivalent method 当量法 Equivalent nitrogen pressure 等效氮压 当量氮气压力 Equivalent penetrameter sensifivty 当量透度计灵敏度 Equivalent 当量 Erasabl optical medium 可消光介质 erection 架设 Etching 浸蚀 腐蚀 侵蚀 蚀刻 Evaluation threshold 评价阈值 评定阈 Evaluation 评定 Event count rate 事件计数率 Event count 事件计数 Examination area 检验范围 Examination region 检验区域 examination 试验 检验 考试 examine and approve 审批 exfoliation 剥落 脱落 Exhaust pressure/discharge pressure 排气压力/排放压力 出口压力 输送压力 Exhaust tubulation 排气管道 Expanded time-base sweep 时基线展宽 时基扫描扩展 &expansion bolt 伸缩栓, 扩开螺栓& expansion joint 伸缩接头 Exposure and darkroom accessories 曝光与暗室附件 Exposure chart 曝光曲线 Exposure fog 曝光灰雾 Exposure table 曝光表 Exposure 曝光 Exposure 曝光 Extended source 扩展源 延长源 external diameter of the pipe 管子直径 external diameter 外径 extra fee 额外费用?第 26 页 共 130 页 eye survey 目测 fabrication drawing 制造图纸 制作图 fabrication tolerance 制造容差 fabrication 加工 制造 Facility scattered neutrons 易散射中子 fall off 脱落 False indication 假指示 虚假指示 伪显示 虚假显示 Family 族 系列 Far field 远场 Fast neutron detectors 快中子探测器 Fast/slow timing Oscilloscope 带快慢调速的示波器 Fax: 传真:? FBH 平底孔(缩写) feeder 馈电线 Feed-through coil 穿过式线圈 ferritic 铁素体的 field fabricated 工地制造的 现场装配的 field installation 现场安装 field instrument 携带式仪表 Field 场(磁场、声场) filament 灯丝 Fill factor 填充系数 filler metal 焊料 焊丝 filler rod 焊条 fillet weld 角焊 填角焊 filling water test 充水试验 Film badge 胶片剂量计 Film base 片基 film cassette 胶片暗盒 Film contrast 胶片对比度 film density 胶片密度,底片黑度 film evaluation scope 底片评定范围 Film gamma 胶片γ值(胶片灰度系数) Film Hangers (Channel Type)槽式洗片架(手工洗片的一种洗片架类型) Film Hangers (Clip Type)夹式洗片架(手工洗片的一种洗片架类型) Film Hangers for manual processing 洗片架(手工洗片的胶片挂架) Film marking equipment 胶片标记器材 Film processing chemicals 胶片处理药品 洗片药品 Film processing 胶片冲洗加工 底片处理 Film speed 胶片速度(胶片感光速度 胶片感光度) Film unsharpness 胶片不清晰度 film viewer 底片评片灯 观片灯 底片观察用光源 Film viewing equipment 评片装置(观片灯) Film viewing screen 胶片观察屏第 27 页 共 130 页 Filter 滤波器 滤光板 过滤器 Final test 最终检验 fire barriers 防火间隔 防火屏障 Fixing 固定 flange connection 凸缘联接 flange gasket 法兰垫片 flange joint 凸缘接头 flange sealing surface 法兰密封面 flange 边缘 轮缘 凸缘 法兰 flash plate 闪熔镀层 flash point 闪点 Flat-bottomed hole equivalent 平底孔当量 Flat-bottomed hole 平底孔 Flaw characterization 伤特性 缺陷特征 Flaw echo 缺陷回波 flaw height(thru-wall dimension) 缺陷自身高度(缺陷在壁厚方向的尺寸) Flaw 伤 缺陷 瑕疵 裂纹 flexible conduit 软管 Flexural wave 弯曲波 flicker-free images 无闪烁图像 Floating threshold 浮动阀值 floor slab 楼板 flow instrument 流量计 flow sheet 流程图 Fluorescence 荧光 Fluorescent dry deposit penetrant 干沉积荧光渗透剂 Fluorescent examination method 荧光检验法 Fluorescent light 荧光 荧光灯 Fluorescent magnetic particle inspection 荧光磁粉检验 Fluorescent magnetic powder 荧光磁粉 Fluorescent penetrant 荧光渗透剂 Fluorescent screen 荧光屏 fluorography 荧光照相术 Fluorometallic intensifying screen 荧光金属增感屏 Fluoroscopy 荧光检查法 flushing 冲洗 填缝 flux cored arc welding 带焊剂焊丝电弧焊 Flux leakage field 磁通泄漏场 漏磁场 Flux lines 磁通线 flux 焊剂,熔化 Focal distance 焦距 Focal spot 焦点 Focus electron 电子焦点 聚焦电子束 Focus length 焦点长度 聚焦长度第 28 页 共 130 页 Focus size 焦点尺寸 聚焦尺寸 Focus width 焦点宽度 聚焦宽度 Focused beam 聚焦束(声束、光束、电子束) Focusing probe 聚焦探头 Focus-to-film distance(f.f.d) 焦点-胶片距离(焦距) Fog density 灰雾密度 Fog 灰雾 Footcandle 英尺烛光 formula 公式 foundation ring 底圈 foundation settlement 基础沉降 Freguency 频率 Frequency constant 频率常数 Fringe 干涉带 干扰带 条纹 边缘 Front distance of flaw 缺陷前沿距离 Front distance 前沿距离 full-scale value 满刻度值 Full-wave direct current(FWDC) 全波直流 Fundamental frequency 基频 Furring 毛状迹痕 毛皮 fusion arc welded 熔弧焊 fusion 熔融 熔合 gage glass 液位玻璃管 Gage pressure 表压 表压力 Gain 增益 gamma camera γ射线照相机(γ射线探伤机) gamma equipment γ射线设备 Gamma radiography γ射线照相术 Gamma ray source container γ射线源容器 Gamma ray source γ射线源 Gamma rays γ射线 gamma source γ射线源 γ源 Gamma-ray radiographic equipment γ射线照相装置 Gamme ray unit γ射线机 γ射线装置 Gap scanning 间隙扫查 间隙扫描 gas cutting 气割 gas shielded arc welding 气体保护焊 Gas 气体 Gate 闸门 Gating technique 选通技术 脉冲选通技术 gauge board 仪表板 样板 模板 规准尺 Gauss 高斯 Geiger-Muller counter 盖革.弥勒计数器 generating of arc 引弧第 29 页 共 130 页 Geometric unsharpness 几何不清晰度 girth weld 环形焊缝 gland bolt 压盖螺栓 Goggles 护目镜 gouging 刨削槽 Gray(Gy) 戈瑞 Grazing angle 掠射角 切线角 入射余角 Grazing incidence 掠入射 切线入射 grind off 磨掉 grinder 磨床 磨工 grinding wheel 砂轮片 砂轮 groove face (焊缝)坡口面 grounding conductor 接地导体 Group velocity 群速度 grouting 灌(水泥)浆 &guide wire 尺度[定距]索,准绳& &gusset plate 角撑板, 加固板& Half life 半衰期 Half-value layer(HVL) 半值层 半价层 Half-value method 半波高度法 半值法 Half-wave current (HW) 半波电流 Halogen leak detector 卤素检漏仪 Halogen 卤素 hanger 吊架 挂架 洗片架 Hard hat 安全帽 Hard X-rays 硬 X 射线 Hard-faced probe 硬膜探头 硬面探头 Harmonic analysis 谐波分析 Harmonic distortion 谐波畸变 Harmonics 谐频 谐波 head face 端面 Head wave 头波 heat absorbing glass 吸热玻璃 heat affected area 热影响区 heat exchangers 热交换器 heat transfer 热传输 Heating boxes 加热箱 Helium bombing 氦轰击法 Helium drift 氦漂移 Helium leak detector 氦检漏仪 Hermetically tight seal 气密密封 密封 密封装置 High energy X-rays 高能 X 射线 high frequency generator 高频发电机 high frequency 高频第 30 页 共 130 页 High vacuum 高真空 highly sophisticated image processing 高度完善的图像处理 hoisting upright column 吊装立柱 Holography 全息照相术(光全息、声全息) horizontal line 水平线 hydrophilic emulsifier 亲水乳化剂 Hydrophilic emulsifier 亲水性乳化剂 Hydrophilic remover 亲水性洗净剂 亲水性去除剂 hydrostatic pressure test 静水压试验 Hydrostatic test 水压试验 静水压试验 Hysteresis 磁滞 滞后 I.F. (intermediate frequency) 中频(30~3000 千周/秒) IACS =International Annealed Copper Standard 国际退火(软)铜标准 ice chest 冰箱 ice machine 制冰机,冷冻机 ice 冰 iconoscope 光电摄像管 ID (①inside ②inside dimensions) ①内径 ②内部尺寸 ID coil ID 线圈 =Inside Diameter 内径线圈 idea 概念,意见,思想 ideal 理想的,想象的 identical 同一的,恒定的,相同的 identification mark 识别标志 identification 鉴定,辨别,验明 identifier 鉴别器 identify pulse 识别脉冲 idiopathetic 自发的,特发的 IEM (ion exchange membrane) 离子交换膜 illuminance 照(明)度 illuminant 照明的,发光的 illuminating lamp 照明灯泡 illuminating loupe 放大照明镜 illuminating mirror 照明镜 illumination apparatus 照明器 illumination plate 照明板 illumination 照明的,照射 illuminator ①照明器,照明装置 ②反光镜 illuminometer 照度计 illustration(abbr. illus.) 图解,例证,具体说明 image amplifier 图像放大器,影像增强器 image analysis system 图像分析系统 Image contrast 图像对比度 影像对比 image converter 影像转换器 Image definition 图像清晰度第 31 页 共 130 页 Image enhancement 图像增强 image freeze 影像冻结 image intensifier tube 影像增强管 图像增强管 image intensifier 像亮化器,图像增强器 Image magnification 图像放大 image monitor 图像监视器 image multiplier 影像倍增器 image pick-up tube 摄像管 image quality indication 像质指示 Image Quality Indicator (IQI)像质计 像质指示器 Image quality indicator sensitivity 像质指示器灵敏度 Image quality 图像质量 image reproducer 显像管,显像器 image store 图像存储器 image tube 显像管 imager 图像仪,显像仪 imagination 想象 imagine 想象,推测,设想 Imaging line scanner 图像线扫描器 图像行扫描器 Imaging Plates(IP)成像板 immediate payment 立即付款 Immersion probe 液浸探头 Immersion rinse 浸没清洗 浸液清洗 immersion system 浸渍装置 液浸系统 Immersion testing 液浸试验 Immersion time 浸没时间 浸入时间 immersion 浸没,浸渍 impact strength 冲击强度 impacter 冲击器 impedance matching 阻抗匹配 Impedance plane diagram 阻抗平面图 impedance transducer 阻抗传感器,阻抗换能器 Impedance 阻抗 impeller ①叶轮,转子 ②压缩机 Imperfection 不完整性 缺陷 imperial gallon(abbr. ip gal) 英国标准加仑(英制容量单位合 4.546 升) imperial quart 英制夸脱 import ①进口 ②输入 import and export firm 进出口商行 import border station 进口国境站名 import licence position 进口许可证 importation ①输入,传入 ②进口货 impression technic 印模术 impression tray 印模盘第 32 页 共 130 页 impression 压迹,印模,版 Impulse eddy current testing 脉冲涡流检测 impulse generator 脉冲发生器 impulse oscilloscope 脉冲示波器 impulse recorder 脉冲自动记录器 impulse scaler 脉冲计数器 impulse timer 脉冲计数器 impulse transmitting tube 脉冲发射管 impulse 冲动,搏动,脉冲 impulser 脉冲发生器,脉冲传感器 impurity 不纯,杂质 In (①indium ②inch) ①铟 ②英寸(等于 25.4 毫米) in parallel 并联 in phase 同相的 in series 串联 in toto 全,整体 in vacuo 在真空中 inaction 无作用 inadequacy 机能不全,闭锁不全 Inc. (incorporated) 股份有限公司 incandescent lamp 白炽灯 inch(abbr.In;in) 英寸 incidence ①入射,入射角 ②发生率 incident angle 入射角 incident illumination 入射光 incident light 入射光 incident ray 入射光 incidental 偶发的,非主要的 inclination 倾斜,斜度 inclined tube type manometer 斜管式压力计 include 包括,计入 inclusion 包含 包埋 杂质 incoming line 进线口 incompatible 不相容的,禁忌的 incompetence 机能不全,闭锁不全 inconvertible 不可逆的 incorporation ①并入 ②公司 increase 增加,增大,增长 Incremental permeability 增量磁导率 indent 订单 index ①指数,索引 ②指针 index card 索引卡片 index hand 指针 index signal 指示信号第 33 页 共 130 页 indexer 指数测定仪,分度器 indicate 指示,表明 Indicated defect area 缺陷指示面积 Indicated defect length 缺陷指示长度 indicated light 指示灯 indicating bell 指示铃 indicating lamp 指示灯 Indication 指示 indicator ①指示器,显示器 ②指针 ③指示剂 indicator paper 试纸 indicatrix 指示量,指示线,特征曲线 indifferent electrode 无关电极 indiffusible 不扩散的 indirect export 间接出口 Indirect exposure 间接曝光 indirect import 间接进口 Indirect magnetization method 间接磁化法 Indirect magnetization 间接磁化 Indirect scan 间接扫查 indium(abbr.In) 铟 individual 个体的,个别的 indoor 室内的 induce 引起,感应,诱导 Induced current method 感应电流法 induced electricity 感生电,感应电 Induced field 感应磁场 感生场 induct 感应,引导,引入 inductance bridge flowmeter 感应电桥流量计 inductance meter 电感测定计 inductance 电感,感应系数 induction ①引导,前言 ②感应,电感 ③吸气 induction apparatus 感应器 induction coil 感应线圈 inductive transducer 感应传感器 inductogram X 射线照片 inductometer 电感计 inductor 感应器,感应机 inductorium 感应器 inductosyn 感应式传感器 industrial exhibition 工业展览会 industrial radiographic film dryer 工业射线胶片干燥器 industrial robot 工业机器人 Industrial X-ray films 工业 X 射线胶片 industrial(abbr.indust.) 工业的,产品的第 34 页 共 130 页 industry 工业,产业 indutrial X-ray machin 工业 X 射线机 inert 惰性的,无效的 inference 推论,推断 infinite 无限的,无穷的 infinitesimal 无限小的,无穷小的 infinity 无穷大,无限,无限距 inflame 燃,着火 inflammable 可燃的,易燃的 inflation 膨胀,充气,打气 inflator 充气机 inflow 流入,吸入,进气 influence 影响,感应 influx 流入,注入 inform 报告,通告,告诉 information generator 信息发送器 information storage unit 信息存储器 information 情报,资料,消息,数据 infra- 下,低于,内,间 infranics 红外线电子学 infrared ①红外线的 ②红外线 infrared detector 红外线探测器 infrared drier 红外线干燥器 infrared equipment 红外线设备 infrared furnace 红外线炉 infrared gas analyzer 红外线气体分析仪 infrared heater 红外线加热器 Infrared imaging system 红外成象系统 infrared lamp 红外线灯 infrared laser 红外激光器 infrared light 红外线 infrared liner polarizer 红外线直线偏振镜 infrared photography 红外摄影术 infrared radiation 红外线照射 infrared radiator 红外线辐射器 infrared rays 红外线 Infrared sensing device 红外传感装置 infrared spectrophotometer 红外分光光度计 infrared thermography 红外热成象 红外热谱 infrasonic frequency 次声频 infrequent 稀有的,不常见的 Inherent filtration 固有滤波 Inherent fluorescence 固有荧光 inherent 生来的,固有的,先天的第 35 页 共 130 页 inheritance 遗传,继承 inhibition 抑制,延迟,阻滞 inhibitor 抑制剂 抑制器 inhomogeneous 不纯的,不均匀的 in-house 自身的,内部的 initial charge 起始电荷 initial data 原始数据 Initial permeability 起始磁导率 初始磁导率 Initial pulse width 始波宽度 始脉冲宽度 Initial pulse 始脉冲 initial 开始的,最初的 initiator ①创造人 ②引发剂 injection syringe 注射器 injection 注射,喷射 injector pump 注射泵 injector 注射器,喷射器 injury 伤,损伤,损害 ink jet printer 墨水喷射印刷机,喷水式打印机 ink jet recorder 墨水喷射记录器 ink writer 印字机 ink writing oscillograph 记录示波仪 ink 墨水,油墨 inlay 嵌体,嵌入 inlead 引入线 inlet port 入口 inlet 入口,入线,输入 inner 内部的 innocuous 无害的,良性的 innovation 革新,改革 innumerable 无数的,数不清的 ino- 纤维 inoperative 无效的,不工作的 inorganic chemistry 无机化学 inorganic 无机的 inosculation 吻合,联合 in-out box 输入-输出盒 input buffer 输入缓冲器 input coupler 输入耦合器 input device 输入装置 input filter 输入滤波器 input impedance 输入阻抗 input output adapter 输入-输出衔接器 input tranformer 输入变压器 input 输入,输入电路第 36 页 共 130 页 inscription 标题,注册 insert ①插入物,垫圈 ②插入,植入 insert tube 嵌入式 X 射线管 Inserted coil 插入式线圈 inserter 插入器,插入物 insertion 插入 inset 插页,插图,插入 Inside coil 内部线圈 inside 内部,内侧,在……里面 Inside-out testing 外泄检测 泄出检测 insignificant 无意义的,轻微的 insolation 曝晒,日照 insoluble 不溶解的 inspection certificate 检验证明书 inspection fee 检验费 Inspection frequency 检测频率 inspection machine 检验设备 Inspection medium 检查介质 检验介质 inspection standard 检验标准 Inspection 检查 检验 inspection 验收,检查,商检 inspector ①测定器 ②检验员 inspectoscope 检查镜 inspissator 浓缩器,蒸浓器 instability 不稳定性 install 安装,装置 installation ①安装 ②装置,设备 installation fundamental circle 安装基准圆 installing 安装,插入 instance 例证,实例,情况 instantaneous value 瞬时值,即时值 instead 代替,更换 instillation 滴注法,灌注 institute 学会,协会,研究所 institution 机关,机构,学校,制度 instruction ①指示,命令 ②说明,说明书 instruction counter 指令计数器 instrumenent repairing table 器械修理台 instrument air 仪表气源 instrument board 仪表板 instrument cabinet 器械柜 instrument carriage 器械车 instrument case 器械箱 instrument cover 仪器外表第 37 页 共 130 页 instrument cupboard 器械柜 instrument light 仪表信号灯 instrument lubricant 器械润滑剂 instrument rack 器械架 仪器架 instrument stand 仪器架 instrument table 器械台,器械桌 instrument 仪器,器械,仪表 instrumental error 仪器误差 instrumentation ①器械,设备 ②器械操作法 insulant 绝缘材料 insulated cable 绝缘电缆 insulated sleeve 绝缘套管 insulating oil 绝缘油 insulation resistance 绝缘电阻 insulation 绝缘,绝热,隔离 insulator 绝缘体,绝热体 insullac 绝缘漆 insusceptible 不受……影响的,不接受……的 intact 完整的,未受损伤的 integral ①积分(的) ②完整的 integraph 积分仪 integrated circuit microelectrode 集成电路微电极 integrated circuit storage 集成电路存储器 integrated circuit(abbr.IC) 集成电路 integrating dosimeter 累计剂量仪 integrating instrument 积分仪,积算仪表 integrator 积分仪 integrogram 积分图 integronics 综合电子设备 intellect 智力,才智 intensifier ①增强器 ②照明装置 Intensifying factor 增感系数 Intensifying screen 增感屏 intensimeter X 射线强度计 intensionometer X 射线强度量计 intensity level ①强度级(声音) ②亮度 intensity output 声强输出 intensity 强度 intensive 加强的,集中的,重点的 inter- 在……中间,内,相互 interaction 相互影响,相互作用,干扰 interception 相交,折射(光) interchange 交替,交换 interchanger 交换器第 38 页 共 130 页 intercondenser 中间电容器 intercooler 中间冷却器 interdiction 禁止,制止 interest 兴趣,关心,注意,利益 interesting 有趣的 interface (计算机)接口 界面 Interface boundary 界面 interface echo 界面回波 Interface trigger 界面触发 interfacial tensiometer 界面张力计 interfacial tension 界面张力 interference absorber 干扰吸收器 interference filter ①干涉滤波器 ②干涉滤光镜 interference preventer 防干扰装置 interference refractometer 干涉折射计 interference spectroscope 干涉分光镜 Interference 干涉 interferogram 干涉图 interferometer 干涉仪,干扰计 interferoscope 干涉镜 interior angle welding line joint 内侧角焊缝接头 interior 内部,内部的 interlayer 夹层 隔层 intermediate frequency(abbr.I.F.) 中频(300~3000 千周/秒) intermission 间断 间歇 internal energy 内能 internal exposure 体内照射 internal gauge 内径规 international candle 国际烛光 international fair 国际博览会 international market 国际市场 international standard 国际标准 international treaty 国际条约 international unit(abbr. I.U) 国际单位 international(abbr.Int.) 国际的,世界的 interphase 界面 interpolation 插入,内插法 interpretation 翻译,解释,说明 interpretation 解释 interpreter 翻译程序,翻译机 interrupt 断续,中断 interrupter 断流器,断续器 interspace 空间,间隙,中间 interstage amplifier 级间放大器第 39 页 共 130 页 inter-sync 内同步 Interval arrival time (Δtij) 到达时间差(Δtij) interval timer 限时器 interval 间隔,时间间隔,中断期 intervalometer 定时器,时间间隔计 intra- 在内,内,内部 intrasonic 超低频 intro- 入口,在内 introduce ①引进,引导 ②前言,绪论 introduction 说明书,前言,绪论 intromission 插入,输入 introscope 内腔检视仪,内孔窥视仪 invagination 凹入,折入,套叠 invasive 侵害的,侵入的 invention 发明,创造 inventor 发明者,创造者 inventory ①清单,存货单 ②设备,机器 inversion 转换,逆转 inverted cone 倒锥形 inverted image 倒像 inverter 倒相器,交换器,换流器 inverting amplifier 倒相放大器 inverting eyepiece 倒像目镜 invest 包埋,围模,附于 investigation ①调查,研究 ②调查报告 invisible light filter 不可见光滤光镜 invisible spectrum 不可见光谱 involuntary 不随意的,偶然的 involve 包含,包括 inward 内,向内的 Io(ionium) 碘 iodide 碘化物 iodine(abbr. I) 碘 iodo- 碘 iodoform 碘仿,三碘甲烷 ion analyser 离子分析仪 ion exchange chromatography 离子交换色谱法 ion exchange resin 离子交换树脂 ion exchange 离子交换 ion exchanger ①离子交换器 ②离子交换器 ion laser 离子激光器 ion meter 离子计 ion pump 离子泵 ion source 离子源第 40 页 共 130 页 ion source 离子源 ion 离子 ionic rays 离子射线 ionic strength 离子强度 ionic weight 离子量 ionization chamber 电离室 Ionization chamber 电离室 ionization constant 电离常数 ionization meter 电离测量仪 Ionization potential 电离电位 Ionization vacuum gage 电离真空计 电离真空压力计 ionization 电离,游离,离子化 ionocolorimeter 氢离子比色计 ionogram 电离图 Ionography 离子放射照相法 ionometer ①X 射线量计 ②离子计 ionosphere 电离层 ionotron 静电消除器 iontoquantimeter ①X 射线量计 ②离子计 iontoradiometer X 射线量计 IP (iso-electric point) 等电点 IP(Imaging Plates)成像板 Ir (iridium) 铱 &ir- “不,无,非”(同 in-,但冠于 r 字头的词前)& IR spectrophotometer 红外线分光光度计 Ir-192 Gamma ray projector 铱 192 γ射线探伤机 iraser 红外激射器,红外激光 iridium(abbr. Ir) 铱 iris ①虹膜 ②隔膜,膜片 ③可变光阑 iris aperture 可变光圈,可变光阑 Iron intensifying screens 铁增感屏 iron triangle 铁三角架 iron 铁 Irradiance E 辐射通量密度,辐照度 E? irradiation 照光,辐射 irradiator 辐照器,辐射器 irregular lighting 不规则照明 irregular 不规则的,无规律的 irreversibility 不可逆性 iso- 同,等,均匀 isobar 等压线 isochore 等容线(在等体积下温度气压关系曲线) isochrone 等时线,瞬压曲线 isochronism 等时性第 41 页 共 130 页 isolation room 隔离室 Isolation 隔离度 绝缘 isolator 绝缘体,隔离器,隔离物 isomer (同分)异构体 isopotential 等电势的,等电的 isothermal line 等温线 isotope tracer 同位素示踪物 Isotope 同位素 isotopic tracer 同位素指示剂,示踪原子 ISP(infrared spectrophotometer) 红外分光光度计 jig 夹具 jigger rotor 盘车转子 job site 施工现场 junction box 接线盒 分线箱 K value K 值 Kaiser effect 凯塞(Kaiser)效应 Kilo volt 千伏特(kv) Kiloelectron volt 千电子伏特(keV) Krypton 85 氪 85 L/D ratio L/D 比 (长/径比) lack of fusion in welds 焊缝中的未熔合 Lamb wave 兰姆波 land (刀刃的)厚度 (纹间)表面 (钻头的)刃带 (活塞的)环槽 (柱塞的)挡圈 连接盘 焊接区 lap width 搭接宽度 Latent image 潜象 Lateral scan with oblique angle 斜平行扫查 Lateral scan 左右扫查 横向扫描 lateral translation 侧向平移 Latitude of an emulsion 胶片曝光宽容度 layout drawing 布置图 lead foil 铅箔 Lead intensifying screens 铅增感屏 lead pipe 铅管 Lead screen 铅屏 铅增感屏 Leak artifact 人工泄漏标样 Leak detector 检漏仪 leak test 漏泄试验 Leak testing 泄漏检测 Leak 泄漏 Leakage field 泄漏磁场 泄漏场 Leakage rate 泄漏率 leakproofness 严密性 防漏的 &level instrument 位面计, 水平仪& level switch (信号)电平开关第 42 页 共 130 页 Leveling 校平 levelness 水平度 Lift-off effect 提离效应 Light intensity 光强度 lighting fixture 照明器材 lightning conduction 避雷网(闪电引导) lightning protection 防雷接地 防雷保护 lightning rod 避雷针 ligthing paraphernalia 照明器具(指携带式) limitation 限度 局限性 Limiting resolution 极限分辨率 line breaker 断路器 线路开关 line check 小检修 Line focus 线焦点 行聚焦 line number 行数 Line pair pattern 线对图形 Line pairs per millimetre 每毫米线对数 Line scanner 线扫描器 行扫描仪 linear array 线阵列 Linear attenuation coefficient 线性衰减系数 Linear electron accelerator(LINAC) 电子直线加速器 Linear Porosity 线性气孔(条形气孔) &Linear scan, linear scanning 线扫查 线性扫描& Linearity amplitude 线性振幅 Linearity distance 线性距离 Linearity time 线性时间 Lines of force 力线 linkman name 联系人姓名 lintel beam 水平横楣梁 Lipophilic emulsifier 亲油性乳化剂 Lipophilic remover 亲油性洗净剂 亲油性去除剂 Liquid film developer 液膜显像剂 Liquid penetrant examination 液体渗透检验 local distortion 局部变形 local heat treatment 局部热处理 Local magnetization method 局部磁化法 Local magnetization 局部磁化 local panel 现场配电盘 Local scan 局部扫查 Localizing cone 定位锥 Location accuracy 定位精度 Location computed 定位计算 Location continuous AE signal 连续声发射(AE)信号定位? Location marker 定位标记第 43 页 共 130 页 Location upon delta-T 按时差(△T)定位 Location 定位 &lock washer 锁紧[止动, 防松]垫圈& logic diagram 逻辑图 logistics flow 物流? logistics 物流 Longitudinal field 纵向场 Longitudinal magnetization method 纵向磁化法 Longitudinal resolution 纵向分辨率 longitudinal seam 纵向焊缝 Longitudinal wave probe 纵波探头 Longitudinal wave technique 纵波法 Longitudinal wave 纵波 longitudinal weld 纵向焊缝? longitudinal 纵向的 loop test 环路测试 loose core (压铸型中)抽芯 活芯 Loss of back reflection 背反射损失 底面反射损失 Love wave 乐甫波 low alloy steel 低合金钢 Low energy gamma radiation 低能γ辐射 Low-energy photon radiation 低能光子辐射 Luminance 亮度 发光度 Luminosity 亮度 发光度 光度 &Lusec 流西克(真空泵抽气速度单位) 卢塞克(漏损单位, 每一升体积内每秒压力升高 1 微 米水银柱)& machine set 机组 machining 机械加工 Magnetic circuit 磁路 Magnetic domain 磁畴 Magnetic field distribution 磁场分布 Magnetic field indicator 磁场指示器 Magnetic field meter 磁场计 Magnetic field strength 磁场强度(H) Magnetic field 磁场 Magnetic flux density 磁通密度 Magnetic flux 磁通 Magnetic force 磁力 Magnetic history 磁化史 Magnetic hysteresis 磁性滞后 磁滞现象 Magnetic leakage field 漏磁场 Magnetic leakage flux 漏磁通 Magnetic moment 磁矩 magnetic particle examination 磁粉检验第 44 页 共 130 页 Magnetic particle field indication 磁粉磁场指示(利用磁粉显示迹痕指示磁场状态) Magnetic particle indication 磁痕 磁粉显示 Magnetic particle inspection flaw indications 磁粉检验的缺陷显示(缺陷磁痕) Magnetic particle testing 磁粉检测 Magnetic particle 磁粉 Magnetic permeability 磁导率 Magnetic pole 磁极 magnetic saturation system 磁饱和装置 Magnetic saturation 磁饱和 Magnetic storage medium 磁存储介质 Magnetic storage 磁存储器 Magnetic writing 磁写 Magnetizing coil 磁化线圈 Magnetizing current 磁化电流 Magnetizing 磁化 Magnetostrictive effect 磁致伸缩效应 Magnetostrictive transducer 磁致伸缩换能器 Main beam 主梁 主(声、光、射线、电子、波)束 main line 主干线 main pipe 主管道 manual electric arc welding 手工电弧焊 Manual testing 手动检测 人工测试 manual tungsten electrode 手工钨极 Markers 时标 标记器 Marking tapes 标记带 MA-scan MA 型扫描 MA-scope MA 型显示 Masking 遮蔽 屏蔽 Mass attenuation coefficient 质量衰减系数 Mass number (原子)质量数 质数 质量数 Mass spectrometer (M.S.) 质谱仪 Mass spectrometer leak detector 质谱检漏仪 Mass spectrum 质谱 master schedule 主要图表 综合图表 设计任务书 主要作业表 Master/slave discrimination 主从鉴别 material certificate 材料合格证 matrix array 矩阵列 maximum tip reflected wave 端点最大反射波 Mean free path 平均自由行程 measuring method 测量方法 mechanical damage 机械损伤 mechanical interlocking 机械(集中)联锁 Medium vacuum 中度真空 Mega electron volts MeV 兆电子伏特(MeV)第 45 页 共 130 页 Mega volt MV 兆伏特(MV) melting 熔化 metal ceramic tube 金属陶瓷管(一种 X 射线管) metallic luster 金属光泽 Micro focus X-ray tube 微焦点 X 射线管 Microfocus radiography 微焦点射线照相术 Micrometre 微米 Micron of mercury 微米汞柱(气压单位) Microtron 电子回旋加速器 Milliampere 毫安(mA) Millimetre of mercury 毫米汞柱(气压单位) milling cutter 铣刀 million electron volts MeV 兆电子伏特(MeV) million volt MV 兆伏特(MV) Minifocus x-ray tube 小焦点 X 射线管 Minimum detectable leakage rate 最小可探泄漏率 Minimum resolvable temperature difference (MRTD) 最小可分辨温度差(MRDT) Mode conversion 波型转换 模式转换 Mode transformation 波型转换 模式转换 Mode 波型 模式 Moderator 慢化器 减速剂 缓和剂 Modulation analysis 调制分析 Modulation transfer function (MTF) 调制转换功能(MTF) 调制传递函数(MTF) molding 成型 Molecular flow 分子流 Molecular leak 分子泄漏 分子漏孔 Monitor 监视器 Monochromatic wave 单色波 motor lead 电动机引出线 Movement unsharpness 移动不清晰度 运动不清晰度 Moving beam radiography 运动射束射线透照术 Multiaspect magnetization method 多向磁化法 Multidirectional magnetization 多向磁化 Multifrequency eddy current testing 多频涡流检测 Multiple back reflections 多次背反射 Multiple back reflections 多次底面反射 Multiple echo method 多次反射法 多次回波法 Multiple probe technique 多探头法 Multiple reflections 多次反射 Multiple triangular array 多三角形阵列 name plate 铭牌 标示牌 nameplate 铭牌 标示牌 Narrow beam condition 窄束状态 National Electrical Code 国家电气规程第 46 页 共 130 页 Near field length 近场长度 Near field 近场 Near surface defect 近表面缺陷 necking down 缩口 缩颈 Net density 净黑度 净密度 Neutron radiography 中子射线照相术 Neutron 中子 Newton (N) 牛顿 Nier mass spectrometer 尼尔质谱仪 nipple 螺纹接头 Noise equivalent temperature difference (NETD) 噪声当量温度差(NETD) Noise 噪声 Nominal angle 标称角度 名义角度 nominal diameter 通称[标称]直径 Nominal frequency 标称频率 名义频率 nominal thickness 公称厚度 Non-aqueous liquid developer 非水性液体显像剂 Noncondensable gas 非凝气体 Nondcstructivc Examination 无损检验 非破坏性检验 Nondestructive Evaluation(NDE) 无损评价 Nondestructive Inspection(NDI) 无损检验 Nondestructive Testing(NDT) 无损检测? Nonerasble optical data 不可消除的光学数据 Nonferromugnetic material 非铁磁性材料 non-relevant indication 非相关显示 Nonrelevant indication 非相关指示 无关显示 Non-screen-type film 非增感型胶片 Normal beam method 垂直波束法? normal bend 法向[法线]弯管 Normal incidence 垂直入射 正入射 Normal permeability 标准磁导率 Normal probe 直探头 Normalized reactance 归一化电抗 标准化电抗 Normalized resistance 归一化电阻 标准化电阻 notice plate 标记牌 布告板 &NPT =Normal Pressure and Temperature 常温常压, 标准温度与压力& Nuclear activity 核活性 核放射性 Nuclide 核素 nut 螺母 螺帽 Object beam angle 物体光束角 物体波束角 Object beam 物体光束 物体波束 Object plane resolution 物体平面分辨率 Object scattered neutrons 物体散射中子 Object-film distance 物体-胶片距离第 47 页 共 130 页 object-to-film distance 工件至胶片距离 odometer(汽车等的)里程表制造产品 odour 气味 OEM (Original Equipment Manufacturer)指非原始产品制造商经授权制造产品冠以原始产品 制造商的品牌 oil stain 油性着色剂 油渍 油污 oil whiting test 油+白粉(白垩)的渗透探伤 opening 开口 order No. 订单号 order 订单 orifice plate 挡板 孔板 outlet valve 排气阀 ovality 椭圆度 椭圆形 Over development 显影过度 过度显影 Over emulsification 过度乳化 乳化过度 Overall magnetization 整体磁化 overground 在地面上的 overlap welding 搭接焊 overlap 焊瘤 Overload recovery time 过载恢复时间 Overwashing 过洗 清洗过度 过清洗 Oxidation fog 氧化灰雾 oxide film 氧化膜 oxyacetylene gas cutting 氧乙炔气割 Pair production 电子偶生成 电子对产生 Palladium barrier leak detector 钯屏检漏仪 Panoramic exposure 全景曝光 panoramic tube 周向(X 射线)管 parallel and level 平齐 Parallel scan 平行扫查 parallelism 平行度 Paramagnetic material 顺磁性材料 Parasitic echo 干扰回波 paratactic 并列 parent metal 母材 Partial pressure 分压 Particle content 磁悬液浓度 粒子含量 Particle velocity 质点(振动)速度 Pascal (Pa) 帕斯卡(帕) Pascal cubic metres per second 帕立方米每秒(Pa?m3/s ) Path length difference 光程长度差 路径长度差 声程差 Path length 光程长度 路径长度 声程长度 Pattern 图形 Peak current 峰值电流第 48 页 共 130 页 Penetrameter sensitivity 透度计灵敏度 Penetrameter 透度计 Penetrant comparator 渗透对比试块 Penetrant flaw detection 渗透探伤 Penetrant removal 渗透剂去除 Penetrant station 渗透工位 Penetrant 渗透剂 渗透液 Penetrant,water-washable 水洗型渗透剂 penetrated thickness 透照厚度 Penetration time 渗透时间 Penetration 渗透 穿透 熔深 period of validity 有效期 periphery 周边 外围 Permanent magnet 永久磁铁 Permeability coefficient 透气系数 渗透系数 磁导系数 Permeability,a-c 交流磁导率 Permeability,d-c 直流磁导率 petroleum distillates 石油馏出物 Phantom echo 幻象回波 幻影波 Phase analysis 相位分析 Phase angle 相位角 Phase controlled circuit breaker 断电相位控制器 Phase detection 相位检测 鉴相 Phase hologram 相位全息图 Phase sensitive detector 相敏检波器 Phase shift 相位移 Phase velocity 相速度 phased array radar 相控阵雷达 Phased array testing 相控阵检测 Phase-sensitive system 相敏系统 Phillips ionization gage 菲利浦电离计 Phosphor 荧光物质 Photoelectric absorption 光电吸收 Photographic emulsion 照相乳剂 Photographic fog 照相灰雾 Photostimulable Luminescence Method 光激发光方法 PLM 技术 Photostimulable luminescence 光敏发光 光激荧光 Piezoelectric effect 压电效应 Piezoelectric material 压电材料 Piezoelectric stiffness constant 压电劲度常数 压电刚度常数 Piezoelectric stress constant 压电应力常数 Piezoelectric transducer 压电换能器 Piezoelectric voltage constant 压电电压常数 pilot tube 指示灯第 49 页 共 130 页 Pilot U detectors U 形导管探测器 pipe arrangement 管配置 pipe casting 管铸件 pipe stanchion 管支柱 pipe thickness 管壁厚度 pipeline 管路 piping system 管道系统 Piping work 铺管工程 piping 管道敷设 管道系统 Pirani gage 皮拉尼真空计 Pitch and catch technique 一发一收法(倾斜入射-底面反射-接收) Pixel disply size 象素显示尺寸 Pixel size 象素尺寸 Pixel 象素 Planar array 平面阵(列) Plane wave 平面波 plasma panel 等离子 plastic tape 塑料带 plate bending rolls 卷板机 plate thickness 筛板厚度 Plate wave technique 板波法 Plate wave 板波 PLM(Photostimulable Luminescence Method)光激发光方法 plug 塞 pneumatic pressure 气压 pneumatic signal 气动信号 Pocket Dosimeter 袖珍剂量计 携带式放射线剂量计 Point source 点(声、光、辐射)源 polish 修磨 Porosity (焊缝)气孔 portable 轻便 Post emulsifiable penetrant 后乳化渗透剂 Post emulsification 后乳化? Post-cleaning 后清洗 Post-Emulsifiable Fluorescent Dye Penetrants 后乳化荧光着色渗透剂 postweld heat treatment 焊后热处理 Powder blower 喷粉器 磁粉喷枪 Powder 粉末 power control 功率控制 power distribution equipment 配电装置 power distribution panel 配电盘 power distribution 配电 power drill 机械钻 power receptacle 电力插座第 50 页 共 130 页 power source 电源 Power supply 电源 power wiring 电力布线 preamp 前置放大器 Pre-amplifier 前置放大器 预放大器 Pre-cleaning 预清理 prefabrication baiting 预制下料 preservative treatment 防腐处理 Pressure difference 压力差 Pressure dye test 压力着色检测 pressure instrument 压力仪表/压力计 Pressure mark 压痕 pressure meter 压力表 Pressure probe 压力探头 pressure test 压力试验 Pressure testing 压力试验 耐压试验 pressure vessel 压力容器 Pressure-evacuation test 压力抽真空试验 Pre-test 初探 预检 Primary coil 一次线圈 初级线圈 Primary radiation 初级辐射 一次辐射 原辐射 principal 负责人 Probe backing 探头背衬 Probe coil clearance 探头线圈间隙 Probe coil 点式线圈 探头式线圈 probe coil 放置式线圈、探头式线圈(涡流检测用) Probe gas 探测气体 气体探头 Probe index 探测指数 探头指数 Probe search unit 探头 Probe test 探测试验 探头试验 Probe to weld distance 探头-焊缝距离 Process control radiograph 工艺过程控制的射线照相 process pipe 工艺管道 Processing capacity 处理能力 Processing speed 处理速度? Prods 触头 手持电极 profile steel 型钢 Projective radiography 投影射线照相术 proof fabric 胶布 Proportioning probe 比例探头 protable X-ray generato 便携式 X 射线发生器(指便携式工业 X 射线探伤机) protection tube 塑料保护管 Protective material 防护材料 Proton radiography 质子射线照相术第 51 页 共 130 页 Pulse amplitude 脉冲幅度 Pulse echo method 脉冲回波法 脉冲反射法 Pulse energy 脉冲能量 Pulse envelope 脉冲包络 Pulse length 脉冲长度 Pulse repetition frequency 脉冲重复频率 Pulse repetition rate 脉冲重复率 Pulse tuning 脉冲调谐 Pulse 脉冲 脉冲波 Pump-out time 抽气时间 Pump-out tubulation 抽气管道 push button station 按钮式控制站 &Q factor,Quality factor Q 值 品质因数& Quadruple traverse technique 四次波法 qualification 资格 条件 限制 限定 赋予资格 quality analysis 质量分析 Quality of a beam of radiation 射线束的品质 quenching of arc 熄弧 Quenching of fluorescence 荧光的猝灭 Quenching 猝灭 淬火 Quick break 快速断路 Quotation 引证 引语 quoting 引号 引用 Rad(rad) 拉德(辐射剂量单位) radian in horizontal direction 水平方向弧度 Radiance,L 面辐射率 L Radiant existence,M 幅射照度 M Radiant flux? 辐射通量 radiant power,ψe 辐射功率、ψe Radiation dose 辐射剂量 Radiation protection equipment 辐射防护器材 Radiation 辐射 Radio-frequency mas

我要回帖

更多关于 ionic ion list 的文章

 

随机推荐