用状态机设计实现at24c02spi eeprom 读写的读写,需要定义哪些状态?各个状态表示什么含义

1 /*-----------------------------------------------
2 名称:IIC协议 EEPROM24c02 存数读取数据
3 内容:此程序用于检测EEPROM性能,测试方法如下:写入24c02一个数据,然后在内存中改变这些数据,
4 掉电后主内存将失去这些信息,然后从24c02中调入这些数据。看是否与写入的相同。这里用8个LED演示
5 函数是采用软件延时的方法产生SCL脉冲,固对高晶振频率要作 一定的修改....(本例是1us机器
6 周期,即晶振频率要小于12MHZ)
7 ------------------------------------------------*/
8 #include &reg52.h&
//头文件的包含
9 #include &intrins.h&
11 #define
//定义空指令
12 // 常,变量定义区
13 sbit SDA=P2^1;
//模拟I2C数据传送位
14 sbit SCL=P2^0;
//模拟I2C时钟控制位
//应答标志位
18 void DelayUs2x(unsigned char t);//函数声明
19 void DelayMs(unsigned char t);
20 /*------------------------------------------------
21 uS延时函数,含有输入参数 unsigned char t,无返回值
22 unsigned char 是定义无符号字符变量,其值的范围是
23 0~255 这里使用晶振12M,精确延时请使用汇编,大致延时
24 长度如下 T=tx2+5 uS
25 ------------------------------------------------*/
26 void DelayUs2x(unsigned char t)
while(--t);
30 /*------------------------------------------------
31 mS延时函数,含有输入参数 unsigned char t,无返回值
32 unsigned char 是定义无符号字符变量,其值的范围是
33 0~255 这里使用晶振12M,精确延时请使用汇编
34 ------------------------------------------------*/
35 void DelayMs(unsigned char t)
while(t--)
//大致延时1mS
DelayUs2x(245);
DelayUs2x(245);
46 /*------------------------------------------------
47 启动总线
48 ------------------------------------------------*/
49 void Start_I2c()
//发送起始条件的数据信号
//起始条件建立时间大于4.7us,延时
//发送起始信号
//起始条件锁定时间大于4&
//钳住I2C总线,准备发送或接收数据
69 /*------------------------------------------------
70 结束总线
71 ------------------------------------------------*/
72 void Stop_I2c()
//发送结束条件的数据信号
//发送结束条件的时钟信号
//结束条件建立时间大于4&
//发送I2C总线结束信号
92 /*----------------------------------------------------------------
93 字节数据传送函数
94 函数原型: void
SendByte(unsigned char c);
将数据c发送出去,可以是地址,也可以是数据,发完后等待应答,并对
96 此状态位进行操作.(不应答或非应答都使ack=0 假)
97 发送数据正常,ack=1; ack=0表示被控器无应答或损坏。
98 ------------------------------------------------------------------*/
SendByte(unsigned char c)
unsigned char BitC
for(BitCnt=0;BitCnt&8;BitCnt++)
//要传送的数据长度为8位
if((c&&BitCnt)&0x80)SDA=1;
//判断发送位
//置时钟线为高,通知被控器开始接收数据位
//保证时钟高电平周期大于4&
//8位发送完后释放数据线,准备接收应答位
if(SDA==1)ack=0;
else ack=1;
//判断是否接收到应答信号
132 /*----------------------------------------------------------------
133 字节数据传送函数
134 函数原型: unsigned char
RcvByte();
用来接收从器件传来的数据,并判断总线错误(不发应答信号),
136 发完后请用应答函数。
137 ------------------------------------------------------------------*/
138 unsigned char
unsigned char
unsigned char BitC
//置数据线为输入方式
for(BitCnt=0;BitCnt&8;BitCnt++)
//置时钟线为低,准备接收数据位
//时钟低电平周期大于4.7us
//置时钟线为高使数据线上数据有效
retc=retc&&1;
if(SDA==1)retc=retc+1; //读数据位,接收的数据位放入retc中
return(retc);
170 /*----------------------------------------------------------------
171 应答子函数
void Ack_I2c(void);
173 ----------------------------------------------------------------*/
174 void Ack_I2c(void)
//时钟低电平周期大于4&
//清时钟线,钳住I2C总线以便继续接收
191 /*----------------------------------------------------------------
192 非应答子函数
void NoAck_I2c(void);
194 ----------------------------------------------------------------*/
195 void NoAck_I2c(void)
//时钟低电平周期大于4&
//清时钟线,钳住I2C总线以便继续接收
212 /*----------------------------------------------------------------
213 向无子地址器件发送字节数据函数
214 函数原型: bit
ISendByte(unsigned char sla,ucahr c);
从启动总线到发送地址,数据,结束总线的全过程,从器件地址sla.
216 如果返回1表示操作成功,否则操作有误。
217 注意:
使用前必须已结束总线。
218 ----------------------------------------------------------------*/
219 /*bit ISendByte(unsigned char sla,unsigned char c)
221 Start_I2c();
//启动总线
222 SendByte(sla);
//发送器件地址
223 if(ack==0)return(0);
224 SendByte(c);
//发送数据
225 if(ack==0)return(0);
226 Stop_I2c();
//结束总线
227 return(1);
231 /*----------------------------------------------------------------
232 向有子地址器件发送多字节数据函数
233 函数原型: bit
ISendStr(unsigned char sla,unsigned char suba,ucahr *s,unsigned char no);
从启动总线到发送地址,子地址,数据,结束总线的全过程,从器件
235 地址sla,子地址suba,发送内容是s指向的内容,发送no个字节。
236 如果返回1表示操作成功,否则操作有误。
237 注意:
使用前必须已结束总线。
238 ----------------------------------------------------------------*/
239 bit ISendStr(unsigned char sla,unsigned char suba,unsigned char *s,unsigned char no)
unsigned char
Start_I2c();
//启动总线
SendByte(sla);
//发送器件地址
if(ack==0)return(0);
SendByte(suba);
//发送器件子地址
if(ack==0)return(0);
for(i=0;i&i++)
SendByte(*s);
//发送数据
if(ack==0)return(0);
Stop_I2c();
//结束总线
return(1);
259 /*----------------------------------------------------------------
260 向无子地址器件读字节数据函数
261 函数原型: bit
IRcvByte(unsigned char sla,ucahr *c);
从启动总线到发送地址,读数据,结束总线的全过程,从器件地
263 址sla,返回值在c.
264 如果返回1表示操作成功,否则操作有误。
265 注意:
使用前必须已结束总线。
266 ----------------------------------------------------------------*/
267 /*bit IRcvByte(unsigned char sla,unsigned char *c)
269 Start_I2c();
//启动总线
270 SendByte(sla+1);
//发送器件地址
271 if(ack==0)return(0);
272 *c=RcvByte();
//读取数据
273 NoAck_I2c();
//发送非就答位
274 Stop_I2c();
//结束总线
275 return(1);
279 /*----------------------------------------------------------------
280 向有子地址器件读取多字节数据函数
281 函数原型: bit
ISendStr(unsigned char sla,unsigned char suba,ucahr *s,unsigned char no);
从启动总线到发送地址,子地址,读数据,结束总线的全过程,从器件
283 地址sla,子地址suba,读出的内容放入s指向的存储区,读no个字节。
284 如果返回1表示操作成功,否则操作有误。
285 注意:
使用前必须已结束总线。
286 ----------------------------------------------------------------*/
287 bit IRcvStr(unsigned char sla,unsigned char suba,unsigned char *s,unsigned char no)
unsigned char
Start_I2c();
//启动总线
SendByte(sla);
//发送器件地址
if(ack==0)return(0);
SendByte(suba);
//发送器件子地址
if(ack==0)return(0);
Start_I2c();
SendByte(sla+1);
if(ack==0)return(0);
for(i=0;i&no-1;i++)
*s=RcvByte();
//发送数据
Ack_I2c();
//发送就答位
*s=RcvByte();
NoAck_I2c();
//发送非应位
Stop_I2c();
//结束总线
return(1);
312 /*------------------------------------------------
313 主函数
314 ------------------------------------------------*/
315 void main()
unsigned char
// 定义临时变量
unsigned char
IRcvStr(0xae,4,&doflye,1);
//调用存储数据
//数值用二进制显示,直接用8个LED表示
for(i=0;i&5;i++)
DelayMs(200);
//1s钟变量自加1,改变值后存储到24c02
//下次开机时将显示当前数值
ISendStr(0xae,4,&doflye,1); //写入24c02
除了上面的p2.0和p2.1要连外还要把p1的8个脚和灯相连
阅读(...) 评论()基于FPGA的I2C总线设计与应用--中国工业计算机网—ATCA/CPCI/AMC/MTCA/IPC/HMI/嵌入式计算机
基于FPGA的I2C总线设计与应用
本文介绍了I2C总线规范,用有限状态机设计了基于FPGA的I2C总线接口控制器。并用Verilog HDL语言对一些基本模块进行了具体描述。所设计的I2C总线模块通过Modelsim6.2b进行软件仿真,所得结果符合I2C通信标准,下载到FPGA中电路运行稳定。
陈兴龙,唐海,任鹏,李剑,中电科第二十九研究所
关键词:I2C总线;FPGA;有限状态机;Verilog HDL
目前,通信中常用的总线有串行总线和并行总线,与并行总线相比,串行总线成本低、占用管脚少,并且结构简单。在工程中比较常用的串行总线有I-wire、IEEE1394、USB和I2C等,其中I2C总线具有简单实用的特点,在串行EEPROM、单片机、数字电位器等器件中应用比较广泛。
I2C总线是英文Inter IC BUS的简写,最早由荷兰飞利浦公司研发,I2C总线只需要两条串行数据线进行数据传输:数据线SDA和时钟线SCL,可用于双向、二进制数据同步串行传输。它允许在总线上连接若干个IC终端,每个IC终端有单独的地址作为识别标识,并且都具有收发数据的功能,从而可实现连接到总线的IC终端间数据信息交互。
现在市场上存在的I2C总线接口芯片大部分为专用芯片,虽然价格比较便宜,用起来也很方便,但功能性能单一、通用性不强、可选的地址范围很小。针对FPGA系统可编程、易调试、可实现在线配置的特点,可以选择可编程器件来实现I2C总线的设计与应用,从而可增强I2C总线功能的通用性,并极大减少系统的开发周期。
1 I2C总线数据传输协议
I2C总线要实现正常的数据传输,在设计时需符合I2C总线数据传输协议中规定的数据传输时序要求。I2C总线进行一次完整数据传输的时序要求如图1所示。
图1 I2C总线一次完整数据传输时序
I2C总线利用时钟同步信号实现数据同步传输,与数据传输相关的信号主要有开始信号、地址信号、读写控制信号、应答信号、数据传输信号和终止信号。
I2C总线工作时序描述如下:
(1)开始进行通信时, 在主机端, 时钟线(SCL)为高电平时,数据线(SDA)从高电平到低电平产生跳变。
(2)主机向从机发送7位地址信息和1位读写方式信息,前7位地址信息决定了哪个从机要和主机通信,最后1位读写方式信息表示主机是向从机发送信息还是接收信息,其中“0”表示主机向从机发送信息, “1”表示主机从从机读取信息。
(3)接下来的1个时钟脉冲为应答位信息,与主机所发送的从机地址相符的从机将产生应答信号,数据线(SDA)上为低电平时表示应答信号,为高电平时表示非应答信号。
(4)而后主机和从机间进行数据通信,当时钟线(SCL)为低电平时,表示开始准备在数据线(SDA)上进行数据通信,当时钟线(SCL)为高电平时,表示在数据线(SDA)上正式进行数据通信。为了保证数据的正确收发,要求每传送1个字节的数据后,数据接收方要进行应答,以确认是否成功收到数据。
(5)终止通信时,在主机端,时钟线(SCL)为高电平时,数据线(SDA)从低电平到高电平产生跳变。
在I2C总线数据传输过程中,比较典型的读写方式为字节写和随机地址读,字节写时序和随机地址读时序分别如图2和图3所示。
图2 字节写时序
图3 随机地址读时序
2 I2C总线的设计
2.1 I2C总线总体框图设计
I2C总线接口可按不同功能分为寄存器部分、时钟生成器部分和接口控制器部分组成,寄存器部分包括状态寄存器、控制寄存器、发送寄存器和接收寄存器。其总体框图如图4所示。
图4 I2C总线接口总体框图
其中控制寄存器主要实现控制信号的存储, 利用控制寄存器,主机可对I2C总线接口进行控制。它由2个8位的寄存器组成,其中一个寄存器用于存储要读/写的字节数WRcnt,另一个寄存器的第0~4位用于存储读/写的五种工作模式,其中00001对应字节写,00010对应页写,00100对应立即地址读,01000对应随机地址读,10000对应顺序地址读。第5位用于存储读/写状态信号WR,第6位用于存储开始信号Start,第7位用于存储复位信号Reset。
状态寄存器主要实现总线工作状态的存储,利用状态寄存器,主机可实时得到I2C总线接口的状态信息。状态寄存器的最低位表示可以从接收寄存器读取数据(即Data_Read_Ok),次高位表示可以向发送寄存器写入数据(即Data_Write_Ok)。
发送寄存器主要实现对要发送的数据和地址存储。发送寄存器中存储的地址主要包括从地址(Slave_Addr)和字节地址(Word_Addr)。
接收数据寄存器实现对从I2C总线读取的数据的存储。主机可通过该寄存器将接收的数据读出。
时钟生成器用于I2C总线接口控制器时钟的产生。
I2C接口控制器为总线设计的核心,I2C总线的主要功能都是通过接口控制器来实现的。
2.2 I2C接口控制器
I2C接口控制器是设计的核心,也是设计难度最大的部分。I2C接口控制器要实现对从机的读写,需要根据控制寄存器的信息,产生满足控制时序要求的时钟(SCL)和数据(SDA)信号。由于控制时序的正确与否直接关系着控制的可靠性,因此可采用有限状态机来设计接口控制器。I2C总线时序控制图如图5所示。
图5 I2C总线时序状态转移关系图
有限状态机的空闲状态为idle,当接收到复位信号(Reset=0)时,状态机进入idle状态,FPGA发出开始(St=1)命令后,状态机将处于Start状态,在时钟线(SCL)和数据线(SDA)上发出开始信号,然后状态机到达TAddr状态,主机向从机发出器件地址和读写方式信息;当输出完毕后,主机将接收到从机发送的应答信号,而后状态机将根据不同的工作模式要求,进入相应的状态。例如字节写和页写还需要输出从机的字节地址,接收到响应信号才进入写状态,而随机读除了要输出从机的地址外,还要再一次输出起始信号和从机的器件地址,而后才进入读状态,立即地址读和顺序读则不需要输出字节地址即可进入读状态。
数据写模式可以实现字节写和页写。当写完一个字节的数据后,会接到从机的响应信号,假如要写的字节数全部写完后,状态机进入Stop状态,否则继续进行下一字节的写入。数据读模式可以实现立即地址读、随机读和顺序地址读。当读完一个字节的数据后,会发送响应信号,假如要读的字节数全部读完后,状态机进入Stop状态,否则继续进行下一字节的读出。
状态机进入停止状态后,在时钟线(SCL)和数据线(SDA)上发出停止信号,之后状态机将进入idle状态。
2.3 I2C接口控制器状态机代码设计
整个I2C接口控制器状态机由十个功能模块组成,通过在各个模块之间的转换实现特定的功能,其中的开始模块、结束模块、发送数据模块和接收数据模块为基本模块,由于篇幅原因,下面仅给出用Verilog HDL语言实现上述四个基本模块功能的程序代码。相关变量的声明在此略去。
(1)开始模块
该模块主要用于实现总线通信的开始控制,当时钟总线(SCL)为高电平时,数据总线(SDA)电平从高变到低,实现总线通信的开始。其代码描述如下:
& count=count+1;
& case(count)
& &1: SDA&=1;
& &2: SCL&=1;
& &3: SDA&=0;
& &4: SCL&=0;
& & & begin
& & & &count=0;
& & & &state&=TA
& &default: state&=I
(2)结束模块
该模块主要用于实现总线通信的停止控制,当时钟总线(SCL)为高电平时,数据总线(SDA)电平从低变到高,实现总线通信的停止,代码描述如下:
& count=count+1;
& case(count)
& &1: SDA&=0;
& &2: SCL&=1;
& &3: SDA&=1;
& & & begin
& & & &count=0;
& & & &state&=I
& &default: state&=I
(3)发送数据模块
发送数据模块主要实现向从机中写入数据的功能,每一次完成一个字节数据的写入,当要写入的字节数比较多时,将反复调用该模块,直到将所有数据写入从机中,代码描述如下:
& count=count+1;
& case(count)
& &1: SDA& = d a t a _
& & & write[bcnt];
& &2: SCL&=1;
& &3: SCL&=0;
& & & begin
& & & &if(bcnt==0)
& & & & begin
& & & & &bcnt=8;
& & & & &data_write_ok=1;
& & & & &TRcnt=TRcnt-1;
& & & & &state&=TA
& & & & end
& & & &else
& & & & state&=TD
& & & & bcnt=bcnt-1;
& & & & count=0;
& & & &end
& &default: state&=I
(4)接收数据模块
接收数据模块主要实现从从机中读取数据的功能,每一次完成一个字节数据的读取,当要读取的字节数比较多时,将反复调用该模块,直到将所有数据从从机中读取出来,代码描述如下:
& count=count+1;
& case(count)
& &1: SDA&=1'
& &2: SCL&=1;
& &3: data_read[bcnt]=SDA;
& &4: SCL&=0;
& & & begin
& & & &if(bcnt==0)
& & & & begin
& & & & &bcnt=8;
& & & & &TRcnt=TRcnt-1;
& & & & &state&=RA
& & & & &data_read_ok=1;
& & & & end
& & & &else
& & & & state&=RD
& & & & bcnt=bcnt-1;
& & & & count=0;
& &default: state&=I
3 I2C总线的应用
实验中,我们以AT24C02存储芯片读写数据过程的仿真时序对I2C总线控制器的功能进行验证,其仿真时序结果符合I2C通信标准。将程序下载到FPGA芯片中并运行,运行的通信数据正确、电路稳定、可靠。I2C总线控制器程序在Xilinx ISE10.1的环境下进行逻辑综合,并利用ModelSim 6.2b进行通信时序仿真。其仿真图见图6和图7。
图6 字节写工作模式的仿真时序波形
图7 随机地址读工作模式的仿真时序波形
图6 是主机写的仿真波形图,主要实现对AT24C02存储器进行字节写的时序仿真。图中仿真的是对从机模块的器件地址为50H,字节地址为03H的存储单元写入1字节数值为AAH的数据。从仿真时序关系上可以看出,主机对从机地址和数据的写入和从机应答信号的时序是正确的。
图7为主机随机从从机读取数据的仿真波形。主要实现对AT24C02存储器进行随机地址读的时序仿真。仿真过程是将前次写入的1个字节的数据读出,读出的结果与写入的完全一样。从仿真时序关系上可以看出,主机对从机地址的写入、数据的读出和主机应答信号的时序是正确的。
在实际应用中,该I2C总线控制器能够实现对AT24C02芯片的五种工作模式下的读写操作,同时能够实现对AD5248数字电位器中的数据读写操作。
本文根据I2C总线通信协议,采用状态机方式对I2C控制器进行设计,并对一些基本模块进行了分析,同时结合AT24C02芯片对该控制器的读写状态进行了时序仿真验证,结果显示该I2C总线信号符合I2C通信标准,下载到FPGA中完全可实现对具有I2C通信协议的芯片进行读写操作。
由于FPGA现场可编程特性在编程和调试上的灵活性。该设计可以生成一个IP核,并嵌入到基于FPGA的系统设计中,可实现对I2C总线中IC芯片数据读写。可避免对不同产品进行重复开发,提高了系统的设计效率。降低了产品开发周期和成本。
上一篇:下一篇:
CPCI Serial【图文】任务五基于I2C的AT24C02读取_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
任务五基于I2C的AT24C02读取
大小:419.50KB
登录百度文库,专享文档复制特权,财富值每天免费拿!
你可能喜欢硬件平台:stm32f10xZET6
开发环境:keil MDK uVisionv4.10
开发语言:C、ST_lib_3.5固件库
EEPROM:电可擦可编程只读存储器。
【stm32f10xZET6开发板的I2C外设物理层特点】
(1)两条串行总线:一条双向数据线(SDA),一条时钟线(SCL);
(2)从设备地址唯一;
(3)支持总线仲裁;
(4)三种速率传输模式:
& & 标准模式100kbit/s
& & 快速模式400kbit/s
& & 高速模式3.4Mbit/s (目前大多I2C设备尚不支持高速模式)
(5)片上的滤波器可以滤去总线数据线上的毛刺波保证数据完整;
(6)连接到相同总线的IC数量受到总线的最大电容400pF限制;
【I2C接口特性】
(1) STM32 中和大容量型号芯片均有多达 2 个I2C总线接口;
(2) 能够工作于多主机或多从机模式,主从均可收发;
(3) 支持标准模式 100Kbit/s 和快速模式 400Kbit/s,不支持高
(4) 支持 7 位或 10 位从设备地址寻址;
(5) 内置了硬件 CRC 发生器/ 校验器;
(6) I2C 的接收和发送都可以使用 DMA 操作;
(7) 支持系统管理总线(SMBus)总线 2.0 版;
typedef struct
& uint32_t I2C_ClockS & & & & &/*!& 指定时钟总线速率,100/400kHz */
& uint16_t I2C_M & & & & & & & &/*!& 指定为I2C通信模式 */
& uint16_t I2C_DutyC & & & & & /*!& 指定I2C快速模式 */
& uint16_t I2C_OwnAddress1; & & & & /*!& 指定从设备自身地址,7/10bit(地址0x0A对应宏) */
& uint16_t I2C_A & & & & & & & & /*!& 使能或禁止ack */
& uint16_t I2C_AcknowledgedA /*!& 指定7/10bit从设备地址下的ack */
} I2C_InitTypeD
在 stm32 如何建立与 EEPROM 的通讯步骤:
(1) 配置 I/O 端口,确定并配置 I2C 的模式,使能 GPIO 和 I2C
& & 1) 检测 SDA 是否空闲;
& & 2) 按 I2C 协议发出起始信号;
& & 3) 发出 7 位器件地址和写模式;
& & 4) 要写入的存储区首地址;
& & 5) 用页写入方式或字节写入方式写入数据;
& & 6) 发送 I2C 通讯结束讯信号
每个操作之后要检测“事件”确定是否成功。写完后检测 EEPROM 是否进
入 standby 状态。
& & 1) 检测 SDA 是否空闲;
& & 2) 按 I2C 协议发出起始讯号;
& & 3) 发出 7 位器件地址和写模式(伪写);
& & 4) 发出要读取的存储区首地址;
& & 5) 重发起始讯号;第 260 页 共 729 页
& & 6) 发出 7 位器件地址和读模式;
& & 7) 接收数据;
类似写操作,每个操作之后要检测“事件”确定是否成功。
I2C_GenerateSTART(); & &// 产生 I2C 的通讯起始信号 S
I2C_Send7bitAddress(); &// 发送7位从设备地址
I2C_SendData(); & & & & // 发送一个数据字节(8bit)
I2C_GenerateSTOP(); & & // 产生 I2C 的通讯停止信号 P
I2C_CheckEvent (); & & &// I2C 传输时的事件监测
/* 代码演示 - mian.c */
******************************************************************************
* @version V1.0
2013-xx-xx
I2C EEPROM(AT24C02)测试,测试信息通过USART1打印在电脑的超级终端
******************************************************************************
#include &stm32f10x.h&
#include &bsp_usart1.h&
#include &bsP_i2c_ee.h&
#include &bsP_led.h&
#include &string.h&
EEP_Firstpage
u8 I2c_Buf_Write[256];
u8 I2c_Buf_Read[256];
void I2C_Test(void);
* @retval 无
int main(void)
/* 串口1初始化 */
USART1_Config();
printf(&\r\n 这是一个I2C外设(AT24C02)读写测试例程 \r\n&);
//LED_GPIO_Config();
/* I2C 外设初(AT24C02)始化 */
I2C_EE_Init();
printf(&\r\n 这是一个I2C外设(AT24C02)读写测试例程 \r\n&);
I2C_Test();
I2C(AT24C02)读写测试
* @retval 无
void I2C_Test(void)
printf(&写入的数据\n\r&);
for ( i=0; i&=255; i++ ) // 填充缓冲
I2c_Buf_Write[i] =
printf(&0x%02X &, I2c_Buf_Write[i]);
if(i%16 == 15)
printf(&\n\r&);
//将I2c_Buf_Write中顺序递增的数据写入EERPOM中
//LED1(ON);
I2C_EE_BufferWrite (I2c_Buf_Write, EEP_Firstpage, 256);
//LED1(OFF);
printf(&\n\r写成功\n\r&);
printf(&\n\r读出的数据\n\r&);
//将EEPROM读出数据顺序保持到I2c_Buf_Read中
//LED2(ON);
I2C_EE_BufferRead(I2c_Buf_Read, EEP_Firstpage, 256);
//LED2(OFF);
//将I2c_Buf_Read中的数据通过串口打印
for (i=0; i&256; i++)
if(I2c_Buf_Read[i] != I2c_Buf_Write[i])
printf(&0x%02X &, I2c_Buf_Read[i]);
printf(&错误:I2C EEPROM写入与读出的数据不一致\n\r&);
printf(&0x%02X &, I2c_Buf_Read[i]);
if(i%16 == 15)
printf(&\n\r&);
printf(&I2C(AT24C02)读写测试成功\n\r&);
/* 代码演示 - bsp_i2c_ee模块 */
#ifndef __I2C_EE_H
#define __I2C_EE_H
#include &stm32f10x.h&
* AT24C02 2kb = 2048bit = 2048/8 B = 256 B
* 32 pages of 8 bytes each
* Device Address
* 1 0 1 0 A2 A1 A0 R/W
* 1 0 1 0 0
* 1 0 1 0 0
/* EEPROM Addresses defines */
#define EEPROM_Block0_ADDRESS
0xA0 /* E2 = 0 */
//#define EEPROM_Block1_ADDRESS 0xA2 /* E2 = 0 */
//#define EEPROM_Block2_ADDRESS 0xA4 /* E2 = 0 */
//#define EEPROM_Block3_ADDRESS 0xA6 /* E2 = 0 */
void I2C_EE_Init(void);
void I2C_EE_BufferWrite(u8* pBuffer, u8 WriteAddr, u16 NumByteToWrite);
void I2C_EE_ByteWrite(u8* pBuffer, u8 WriteAddr);
void I2C_EE_PageWrite(u8* pBuffer, u8 WriteAddr, u8 NumByteToWrite);
void I2C_EE_BufferRead(u8* pBuffer, u8 ReadAddr, u16 NumByteToRead);
void I2C_EE_WaitEepromStandbyState(void);
#endif /* __I2C_EE_H */
//--------------------------------------------------------
******************************************************************************
bsp_i2c_ee.c
STMicroelectronics
* @version V1.0
2013-xx-xx
i2c EEPROM(AT24C02)应用函数bsp
******************************************************************************
#include &bsp_i2c_ee.h&
/* STM32 I2C 快速模式 */
#define I2C_Speed
/* 这个地址只要与STM32外挂的I2C器件地址不一样即可 */
#define I2C1_OWN_ADDRESS7
/* AT24C01/02每页有8个字节 */
#define I2C_PageSize
/* AT24C04/08A/16A每页有16个字节 */
//#define I2C_PageSize
uint16_t EEPROM_ADDRESS;
I2C1 I/O配置
* @retval 无
static void I2C_GPIO_Config(void)
GPIO_InitTypeDef
GPIO_InitS
/* 使能与 I2C1 有关的时钟 */
RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOB,ENABLE);
RCC_APB1PeriphClockCmd(RCC_APB1Periph_I2C1,ENABLE);
/* PB6-I2C1_SCL、PB7-I2C1_SDA*/
GPIO_InitStructure.GPIO_Pin =
GPIO_Pin_6 | GPIO_Pin_7;
GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_OD;
// 开漏输出
GPIO_Init(GPIOB, &GPIO_InitStructure);
I2C 工作模式配置
* @retval 无
static void I2C_Mode_Configu(void)
I2C_InitTypeDef
/* I2C 配置 */
I2C_InitStructure.I2C_Mode = I2C_Mode_I2C;
/* 高电平数据稳定,低电平数据变化 SCL 时钟线的占空比 */
I2C_InitStructure.I2C_DutyCycle = I2C_DutyCycle_2;
I2C_InitStructure.I2C_OwnAddress1 = I2C1_OWN_ADDRESS7;
I2C_InitStructure.I2C_Ack = I2C_Ack_E
/* I2C的寻址模式 */
I2C_InitStructure.I2C_AcknowledgedAddress = I2C_AcknowledgedAddress_7
/* 通信速率 */
I2C_InitStructure.I2C_ClockSpeed = I2C_S
/* I2C1 初始化 */
I2C_Init(I2C1, &I2C_InitStructure);
/* 使能 I2C1 */
I2C_Cmd(I2C1, ENABLE);
I2C 外设(EEPROM)初始化
* @retval 无
void I2C_EE_Init(void)
I2C_GPIO_Config();
I2C_Mode_Configu();
/* 根据头文件i2c_ee.h中的定义来选择EEPROM要写入的地址 */
#ifdef EEPROM_Block0_ADDRESS
/* 选择 EEPROM Block0 来写入 */
EEPROM_ADDRESS = EEPROM_Block0_ADDRESS;
#ifdef EEPROM_Block1_ADDRESS
/* 选择 EEPROM Block1 来写入 */
EEPROM_ADDRESS = EEPROM_Block1_ADDRESS;
#ifdef EEPROM_Block2_ADDRESS
/* 选择 EEPROM Block2 来写入 */
EEPROM_ADDRESS = EEPROM_Block2_ADDRESS;
#ifdef EEPROM_Block3_ADDRESS
/* 选择 EEPROM Block3 来写入 */
EEPROM_ADDRESS = EEPROM_Block3_ADDRESS;
将缓冲区中的数据写到I2C EEPROM中
@arg pBuffer:缓冲区指针
@arg WriteAddr:写地址
@arg NumByteToWrite:写的字节数
void I2C_EE_BufferWrite(u8* pBuffer, u8 WriteAddr, u16 NumByteToWrite)
u8 NumOfPage = 0, NumOfSingle = 0, Addr = 0, count = 0;
Addr = WriteAddr % I2C_PageS
// addr = 8bit % 8
count = I2C_PageSize - A
// 8 - addr
NumOfPage =
NumByteToWrite / I2C_PageS
NumOfSingle = NumByteToWrite % I2C_PageS
/* If WriteAddr is I2C_PageSize aligned
if(Addr == 0)
/* If NumByteToWrite & I2C_PageSize */
if(NumOfPage == 0)
I2C_EE_PageWrite(pBuffer, WriteAddr, NumOfSingle);
I2C_EE_WaitEepromStandbyState();
/* If NumByteToWrite & I2C_PageSize */
while(NumOfPage--)
I2C_EE_PageWrite(pBuffer, WriteAddr, I2C_PageSize);
I2C_EE_WaitEepromStandbyState();
WriteAddr +=
pBuffer += I2C_PageS
if(NumOfSingle!=0)
I2C_EE_PageWrite(pBuffer, WriteAddr, NumOfSingle);
I2C_EE_WaitEepromStandbyState();
/* If WriteAddr is not I2C_PageSize aligned
/* If NumByteToWrite & I2C_PageSize */
if(NumOfPage== 0)
I2C_EE_PageWrite(pBuffer, WriteAddr, NumOfSingle);
I2C_EE_WaitEepromStandbyState();
/* If NumByteToWrite & I2C_PageSize */
NumByteToWrite -=
NumOfPage =
NumByteToWrite / I2C_PageS
NumOfSingle = NumByteToWrite % I2C_PageS
if(count != 0)
I2C_EE_PageWrite(pBuffer, WriteAddr, count);
I2C_EE_WaitEepromStandbyState();
WriteAddr +=
pBuffer +=
while(NumOfPage--)
I2C_EE_PageWrite(pBuffer, WriteAddr, I2C_PageSize);
I2C_EE_WaitEepromStandbyState();
WriteAddr +=
pBuffer += I2C_PageS
if(NumOfSingle != 0)
I2C_EE_PageWrite(pBuffer, WriteAddr, NumOfSingle);
I2C_EE_WaitEepromStandbyState();
写一个字节到I2C EEPROM中
@arg pBuffer:缓冲区指针
@arg WriteAddr:写地址
void I2C_EE_ByteWrite(u8* pBuffer, u8 WriteAddr)
/* Send STRAT condition */
I2C_GenerateSTART(I2C1, ENABLE);
/* Test on EV5 and clear it */
while(!I2C_CheckEvent(I2C1, I2C_EVENT_MASTER_MODE_SELECT));
/* Send EEPROM address for write */
I2C_Send7bitAddress(I2C1, EEPROM_ADDRESS, I2C_Direction_Transmitter);
/* Test on EV6 and clear it */
while(!I2C_CheckEvent(I2C1, I2C_EVENT_MASTER_TRANSMITTER_MODE_SELECTED));
/* Send the EEPROM's internal address to write to */
I2C_SendData(I2C1, WriteAddr);
/* Test on EV8 and clear it */
while(!I2C_CheckEvent(I2C1, I2C_EVENT_MASTER_BYTE_TRANSMITTED));
/* Send the byte to be written */
I2C_SendData(I2C1, *pBuffer);
/* Test on EV8 and clear it */
while(!I2C_CheckEvent(I2C1, I2C_EVENT_MASTER_BYTE_TRANSMITTED));
/* Send STOP condition */
I2C_GenerateSTOP(I2C1, ENABLE);
在EEPROM的一个写循环中可以写多个字节,但一次写入的字节数
不能超过EEPROM页的大小,AT24C02每页有8个字节
@arg pBuffer:缓冲区指针
@arg WriteAddr:写地址
@arg NumByteToWrite:写的字节数
void I2C_EE_PageWrite(u8* pBuffer, u8 WriteAddr, u8 NumByteToWrite)
while(I2C_GetFlagStatus(I2C1, I2C_FLAG_BUSY)); // Added by Najoua 27/08/2008
/* 发送start信号 */
I2C_GenerateSTART(I2C1, ENABLE);
/* Test on EV5 and clear it */
while(!I2C_CheckEvent(I2C1, I2C_EVENT_MASTER_MODE_SELECT));
/* Send EEPROM address for write */
I2C_Send7bitAddress(I2C1, EEPROM_ADDRESS, I2C_Direction_Transmitter);
/* Test on EV6 and clear it */
while(!I2C_CheckEvent(I2C1, I2C_EVENT_MASTER_TRANSMITTER_MODE_SELECTED));
/* Send the EEPROM's internal address to write to */
I2C_SendData(I2C1, WriteAddr);
/* Test on EV8 and clear it */
while(! I2C_CheckEvent(I2C1, I2C_EVENT_MASTER_BYTE_TRANSMITTED));
/* While there is data to be written */
while(NumByteToWrite--)
/* Send the current byte */
I2C_SendData(I2C1, *pBuffer);
/* Point to the next byte to be written */
pBuffer++;
/* Test on EV8 and clear it */
while (!I2C_CheckEvent(I2C1, I2C_EVENT_MASTER_BYTE_TRANSMITTED));
/* Send STOP condition */
I2C_GenerateSTOP(I2C1, ENABLE);
从EEPROM里面读取一块数据
@arg pBuffer:存放从EEPROM读取的数据的缓冲区指针
@arg WriteAddr:接收数据的EEPROM的地址
@arg NumByteToWrite:要从EEPROM读取的字节数
void I2C_EE_BufferRead(u8* pBuffer, u8 ReadAddr, u16 NumByteToRead)
//*((u8 *)0x4001080c) |=0x80;
while(I2C_GetFlagStatus(I2C1, I2C_FLAG_BUSY)); // Added by Najoua 27/08/2008
/* Send START condition */
I2C_GenerateSTART(I2C1, ENABLE);
//*((u8 *)0x4001080c) &=~0x80;
/* Test on EV5 and clear it */
while(!I2C_CheckEvent(I2C1, I2C_EVENT_MASTER_MODE_SELECT));
/* Send EEPROM address for write */
I2C_Send7bitAddress(I2C1, EEPROM_ADDRESS, I2C_Direction_Transmitter);
/* Test on EV6 and clear it */
while(!I2C_CheckEvent(I2C1, I2C_EVENT_MASTER_TRANSMITTER_MODE_SELECTED));
/* Clear EV6 by setting again the PE bit */
I2C_Cmd(I2C1, ENABLE);
/* Send the EEPROM's internal address to write to */
I2C_SendData(I2C1, ReadAddr);
/* Test on EV8 and clear it */
while(!I2C_CheckEvent(I2C1, I2C_EVENT_MASTER_BYTE_TRANSMITTED));
/* Send STRAT condition a second time */
I2C_GenerateSTART(I2C1, ENABLE);
/* Test on EV5 and clear it */
while(!I2C_CheckEvent(I2C1, I2C_EVENT_MASTER_MODE_SELECT));
/* Send EEPROM address for read */
I2C_Send7bitAddress(I2C1, EEPROM_ADDRESS, I2C_Direction_Receiver);
/* Test on EV6 and clear it */
while(!I2C_CheckEvent(I2C1, I2C_EVENT_MASTER_RECEIVER_MODE_SELECTED));
/* While there is data to be read */
while(NumByteToRead)
if(NumByteToRead == 1)
/* Disable Acknowledgement */
I2C_AcknowledgeConfig(I2C1, DISABLE);
/* Send STOP Condition */
I2C_GenerateSTOP(I2C1, ENABLE);
/* Test on EV7 and clear it */
if(I2C_CheckEvent(I2C1, I2C_EVENT_MASTER_BYTE_RECEIVED))
/* Read a byte from the EEPROM */
*pBuffer = I2C_ReceiveData(I2C1);
/* Point to the next location where the byte read will be saved */
pBuffer++;
/* Decrement the read bytes counter */
NumByteToRead--;
/* Enable Acknowledgement to be ready for another reception */
I2C_AcknowledgeConfig(I2C1, ENABLE);
Wait for EEPROM Standby state
* @retval 无
void I2C_EE_WaitEepromStandbyState(void)
vu16 SR1_Tmp = 0;
/* Send START condition */
I2C_GenerateSTART(I2C1, ENABLE);
/* Read I2C1 SR1 register */
SR1_Tmp = I2C_ReadRegister(I2C1, I2C_Register_SR1);
/* Send EEPROM address for write */
I2C_Send7bitAddress(I2C1, EEPROM_ADDRESS, I2C_Direction_Transmitter);
}while(!(I2C_ReadRegister(I2C1, I2C_Register_SR1) & 0x0002));
/* Clear AF flag */
I2C_ClearFlag(I2C1, I2C_FLAG_AF);
/* STOP condition */
I2C_GenerateSTOP(I2C1, ENABLE);
&&相关文章推荐
* 以上用户言论只代表其个人观点,不代表CSDN网站的观点或立场
访问:34231次
积分:1975
积分:1975
排名:第19781名
原创:169篇
转载:10篇

我要回帖

更多关于 eeprom读写次数 的文章

 

随机推荐