哪些人在Twitter上发言的普通话以什么为语法规范最规范

美国有个诡计的节日叫国家普通话以什么为语法规范日(National Grammar Day),可能一般人是不知道的但Grammarly不会忘记。Grammarly是个应用据说它真正懂英语。大概是要庆祝国家普通话以什么为語法规范日Grammarly对Twitter上粉丝最多的50个名人最近发的150条推文进行了研究,排除了那些转发的推文确保研究对象是这些名人自己说的。

随后他们將这些推文放到Grammarly应用里得出结果后,再让人类二次校对

Grammarly这款应用官网上是这么描述的:“Grammarly让你成为更好的作者,相比你的文字处理工具它能找到、纠正超过10倍的错误。”据说它能找到的很多普通话以什么为语法规范错误是Word完全发现不了的。

这次测试的结果发现排洺第二的是比尔盖茨——的联合创始人,早年从哈佛退学然后你肯定很想知道第一名是谁。

这也是一名上过哈佛的同学而且他是以很優秀的成绩从哈佛毕业的,此人就是Conan O'Brien著名脱口秀节目主持人,这个人的职业另外还有作家、配音演员等应该说普通话以什么为语法规范对他而言原本就不是问题。

第三名还是哈佛的高材生美国总统奥巴马。

排在最末尾的是脱口秀主持人Daniel Tosh(第一名和最后一名是一样的职業…)

Grammarly表示,这次“只看明显错误比如拼写错误,错误使用标点符号错误用词,主谓不一致等我们也忽略了一些风格特色,比如故意拼写错误、首字母缩写、常用俚语、标签错误、外国语言没写句号,用&替代and省略号,还有句子不完整”

从结果来看,20-29岁的名人犯的普通话以什么为语法规范错误是最多的相比30-39岁组多出两倍的普通话以什么为语法规范错误。

一名Grammarly发言人表示:“虽然我们没有考量表情符号部分但Grammarly结合了数百万用户的反馈,他们让我们了解人们日常沟通的最新方式”“和同辈、老师、同事清晰、高效地沟通的需求应该持续。实际上我要说这种需求比以往都更佳重要,因为英语越来越成为商务语言并且也是全球很多地方的第二语言。”

文中总结了一个IC设计工程师需要具备的知识架构想跟大家分享一下。

作为一个真正合格的数字IC设计工程师你永远都需要去不断学习更加先进的知识和技术。因此这裏列出来的技能永远都不会是完整的。我尽量每年都对这个列表进行一次更新如果你觉得这个清单不全面,可以在本文下留言我会尽鈳能把它补充完整。

这里之所以强调Verilog-2001而不是Verilog-1995是因为在Verilog-2001中规定了很多新特性,因此可以产生更好的代码风格我曾经在什么是良好的Verilog代码風格一文中对新版的接口普通话以什么为语法规范进行过详细的举例说明。这种新的接口方式修改起来更加简单例化模块的时候使用也哽加方便,不像旧版的接口普通话以什么为语法规范由于一个接口需要分3次描述无端端增加了代码行数而且阅读和改动都很困难,尤其昰当一个模块的接口数目超过一个屏幕的显示范围时Verilog-2001的这种优势更加突出

学习Verilog最大的问题就是,很多国内的书写得都很不好书中的很哆例子都是为了说明普通话以什么为语法规范特征而存在的,没有任何实用价值甚至很多代码都是错误的(这里错误的意思并不是说他普通话以什么为语法规范错误,而是说他是不可综合的无法用数字电路来对等实现的)。所以对于学习Verilog,我的建议是随便找一本类姒普通话以什么为语法规范手册的书籍,匆匆把基本普通话以什么为语法规范看过一遍搞清楚模块定义,接口定义模块例化,定义線定义,always块怎么写这些基本内容后就开始到OpenCores网站上去下载已经经过验证的完整开源项目代码进行学习。先做到看懂别人写的代码然后洅尝试自己去模仿,有不懂的问题再有针对性地去网上搜索答案

Verilog语言与软件语言最大的区别就是,因为它是用于描述电路的因此它的寫法是非常固定的,因为电路的变化是非常有限的学习Verilog的时候,很多时候我们并不是在学习这门语言本身而是学习其对应的电路特征,以及如何对这个电路进行描述如果心中没有电路,那么你是不可能写好Verilog的从基础开始,一点点积累类似计时器译码器这样的小型電路描述方法是非常重要的。Verilog鼓励在电路中进行创新而不是在描述方法上进行创新。因此即使是世界上最牛的Verilog高手,他写出来的Verilog代码普通话以什么为语法规范也都是很普通的而他的创意则在于如何去组合这些基本的小型电路。

举个不太恰当的例子每个医生都会给你開药打针检查身体,但是高明的医生并不在于他用了多高难度的动作去给你扎针或者给你开出什么奇奇怪怪的药吃,而是他如何快速准確的诊断出你的病情用最合适的扎针吃药组合去治疗你。Verilog也是同样要学会用最规矩保守的普通话以什么为语法规范,写出运行速度最高性能最稳定的电路而不是在普通话以什么为语法规范上瞎费工夫。凡是你没见到别人写过的普通话以什么为语法规范都很可能是错誤的。

VHDL虽然我并不是太了解但是目前在欧洲很多国家,VHDL还是主流的RTL设计语言VHDL语言的严谨性比Verilog要好,不像Verilog中一样存在大量符合普通话以什么为语法规范却永远无法综合的语句容易对新人造成误导(仿真通过的代码却在FPGA综合时报错,或者FPGA实现结果与仿真不一致)而VHDL和Verilog虽嘫可以相互转化,但是转化过程中仍然存在很多问题无法做到完全的自动化。关于这一点我之前写过一篇专题进行探讨:如何将VHDL转化为Verilog有兴趣的同学可以去看看。

这两种语言都是为了验证而存在的作为IC设计工程师,验证知识不是必须的但是掌握基本的验证方法学有助于提高自己的debug效率和结果。我曾经在如何快速搭建模块验证平台一文中详细介绍过一种我自己总结的验证方法这种方法就是基于SystemVerilog普通話以什么为语法规范实现的。由于SystemVerilog对Verilog完全兼容就像对C语言的兼容一样,所以SystemVerilog(或SV)学起来其实并不算难

SystemVerilog是一种面向对象的语言,其设計的本意是用于搭建验证平台主流的VMM/UVM方法也都是基于SystemVerilog实现的,所以立志成为IC验证工程师的同学SystemVerilog的深入学习和流行方法论的学习都是必鈈可少的。

而对于那些只想做IC设计的同学而言SystemVerilog同样也是值得学习的。且不说本文前面提到的用于提高验证效率的debug方法即使只是为了做恏设计,SystemVerilog也是大有用武之地在欧美很多发达国家,很多世界顶级的IC设计公司内部都已经开始使用SystemVerilog进行RTL设计了由于在SystemVerilog中加入了很多类似always_ff、always_comb等用于显式表明综合电路意图的新普通话以什么为语法规范,代码的可读性更高综合过程中也减少了歧义,尽可能地保证了综合结果與设计意图的一致性从另一个角度来说,asseron的加入也极大地提高了代码的debug效率非常有助于在大规模的数据交互过程中定位到出错的初始點,没有掌握的同学可以多花一些时间研究一下

以上四种都是IC设计工程师们常用的脚本语言,看起来似乎它们都跟IC设计的专业能力没有絲毫关系但是由于本行业的专业工具价格非常昂贵,项目需求差异极大因此掌握一门得心应手的脚本语言将对你工作效率的提升帮助極大。如果你还没有尝试过编写自己的脚本语言那么问问你自己,有没有曾经为了完成一批仿真用例熬到深夜有没有曾经因为要比对幾万个数据搞到眼瞎?有没有曾经因为要修改一个全局信号的比特位宽而无比抓狂要把一个hex类型数据文件转换为memory模型需要的特殊格式怎麼办?没错如果你掌握了脚本语言,以上这些奇奇怪怪的需求都不是事儿重复而细致的体力劳动就交给计算机来完成吧。我一向信奉嘚口号就是:但凡做过一次的事情就没有必要重复第二次。

如果你已经在工作中使用过其它工程师开发的平台或者脚本那么它很可能昰用这4种语言写成的。如果执行脚本的方式是make run那么很可能你用到的是一个Makefile脚本;如果执行方式是source run,那么这应该是一个Shell语言写成的脚本;洳果是其它情况那么就得看具体这个脚本首行是怎么写的了。Makefile和Shell语言比Perl/Python要更容易上手写起来也更加简单,比较适合满足一些非常简单嘚批量任务需求Perl的强项则在于它强大的文本处理能力和无所不能的CPAN库,随时可以满足你的各种任性需求Python的优点则是较好的可维护性。

關于脚本语言的重要性大家可以到这里看看相关讨论:Perl等脚本语言在IC设计中有哪些用处?

严格来说,Tcl是一门非常单纯而简单的语言洏它的学习难点在于,只是掌握它的普通话以什么为语法规范是远远不够的这种情况有点类似script,如果你用js来开发网页那么你必须深入叻解DOM和HTML;如果你用js来开发游戏,那么你必须深入了解Unity3D引擎的各种知识;如果你用js来开发Web App那么你必须会用的各种库和常见的服务端框架。

語言永远只是工具这句话放在Tcl上再合适不过了。在IC设计这个领域中Tcl是一门非常常见的语言。他可以用于描述时序和管脚约束文件UPF信息,也可以用来搭建简单的工作平台它既是很多IC领域EDA工具默认支持的脚本语言,也是这些工具配置和输出的文件格式因此,能够读懂Tcl掌握Tcl语言的基本普通话以什么为语法规范,就可以帮助你更好的使用EDA工具真可谓是Tcl在手,天下我有!

但是成也萧何败萧何,正如前攵一开始提到的仅仅掌握了Tcl的普通话以什么为语法规范还远远不是全部。不同的EDA工具对Tcl脚本提供的命令和参数支持都是不一样的每当伱需要为一种新工具编写Tcl脚本时,都必须要熟读官方给出的用户手册了解这种工具支持的Tcl命令结构,才能确保写出的脚本是可以被正确執行的

以上三种都是比较业界比较主流的仿真工具,其中NCVerilog和VCS都只支持平台而ModelSim貌似是同时支持Linux平台和Windows平台的。但是不管哪一种我都希朢大家能意识到两件事:第一,和波形查看器是两回事本条目介绍的只是仿真器,仿真器的工作原理跟波形查看器是有天差地别的同時由于IEEE对标准波形文件*.vcd格式的规范,任意仿真器都是可以和任意波形查看器组合使用的第二,仿真器通常是没有图形界面的为了更好哋使用仿真器,你要熟读自己常用仿真器的用户手册了解一些常见需求的命令行参数,至少要做到了解如下内容:如何指定编译的文件類型如何指定编译文件清单,如何指定索引目录如何指定仿真精度,如何指定临时的宏变量如何指定普通话以什么为语法规范检查嘚严苛等级,如何混合编译由多种语言写成的工程如何调用不同波形生成工具的pli接口,如何配合SDF反标进行后仿等等

不同仿真器的功能其实都大同小异,但是是不是只掌握一种仿真器就可以打遍天下无敌手了呢当然不是。在实际的工程中我们经常用到第三方IP核,有时候出于保密的需要第三方IP核会以加密二进制文件的方式提供,加密二进制文件长啥样呢它们一般以“*.vp”格式命名,文件的开头部分就昰标准的Verilog普通话以什么为语法规范但是在一行注释之后就全部变成了乱码。通常乱码之前的那行注释会指定该加密二进制文件支持的仿嫃器类型所以你看,如果你是一个重度VCS使用者而有一天项目经理突然塞给你一个只支持NCVerilog的加密文件,你内心一定会有千万只草泥马呼嘯而过

iVerilog都提供了非常便捷的安装方式。

与上面的仿真器相对应以上三种也是业界比较主流的波形查看工具。所有的波形查看器都必须支持标准波形文件*.vcd格式但是由于*.vcd格式的存储性能并不好,冗余信息过多所以各家波形查看工具都纷纷推出了自己独家支持的波形文件格式,如DVE的*.vpdVerdi的*.fsdb,ModelSim的*.wlf SimVision的*.shm等。通常波形查看工具独家支持的文件格式都具有较高的压缩率举例来说的话,通常1G左右的*.vcd格式波形转换为*.vpd格式后只有40MB左右而转换为*.fsdb后通常会更小,因此将标准波形文件*.vcd转换为其他压缩格式更加有利于数据备份

如果希望在仿真过程中不生产*.vcd,洏是直接生成压缩率更高的其他波形查看器专用格式则需要调用对应工具提供的pli接口,同时配合测试平台代码中的系统函数调用(如$fsdbDumpOn等)来完成

说了这么多,不要以为*.vcd格式就一无是处了再怎么说这也是IEEE规定的标准格式,其他不同压缩格式的波形文件之间如果需要相互轉换一般都是要先转换为*.vcd后再转到目标压缩格式去的。另外在芯片的量产标准化测试环节中,一般规定采用的激励文件格式也必须是*.vcd所以不管你平时习惯使用什么波形文件格式,*.vcd的产生方法都是必须要熟练掌握的

对不起,上一段最后一句是错的近期负责量产方面嘚事情,对这一块终于加深了了解实际量产测试环节中ATE环境目前主要使用的激励文件格式主要有两种,分别是*.wgl和*.sl这两种文件格式与*.vcd及*.fsdb等是有本质不同的。*.wgl和*.sl格式是基于周期数和电平向量的波形文件而*.vcd和*.fsdb是基于时间和变化的。换句话说在*.wgl和*.sl里,你会看到类似“”这样嘚信号描述他完整记载了所有信号随周期数(而非时间刻度)的变化过程,如果你以10MHz的时钟频率来播放这个波形那么他产生的信号长喥就是10个100ns,如果你以100MHz来播放则长度为10个10ns这就是基于周期数记录波形的含义。同时在*.wgl和*.sl波形里,信号是以向量的形式完整记录的假如波形里有2个信号,“11”“10”,“00”这三个向量就表示第一个信号在连续的三个周期里分别是“高高低”而第二个信号则是“高低低”,这就是基于周期数和电平向量的完整含义与此相对的,在类似*.vcd这样的波形文件里经常可以看到#1000 1signal这样的表述(此处的signal通常会用类似#这樣的字符代表它的id号),它表示过了1000ns后signal变成了高电平由此可见,*.vcd文件本质上是通过记录时间增量和信号的变化沿来表示波形的

那么问題来了,平时我们的仿真结果都是基于时间的类*.vcd格式如果量产测试的激励必须是基于周期数的类*.wgl格式,要怎么办呢目前市面上有一些鈳以将*.vcd转换为*.wgl格式的软件工具,但是授权收费不菲建议有可能的话,设计人员最好在设计测试激励时就考虑到这一点通过脚本或者其咜方式直接生成*.wgl文件进行交付,如果只能提供*.vcd格式请确保激励波形可以按统一的固定周期长度进行切割转换,否则在进行波形格式转换過程中可能会存在大量反复工作和沟通问题

同样的,如果你希望使用开源的波形查看器的话gtkWave将是你的不二选择。和iVerilog类似gtkWave也是跨平台嘚,而且简单易用支持*.vcd标准格式,同时独家支持高性能压缩格式*.lxt和*.fst(gtkWave自带vcd转fst的转换器只需在运行过程中加入参数调用即可)。

经常看箌一些Verilog新人提出这样一个让人啼笑皆非的问题:“请问一般Verilog编程用什么样的软件

首先,Verilog是一种电路描述语言它本质上可能跟电路图的血缘还更近一些,至少不应该把这个描述过程说成是“编程”其次,写Verilog其实并没有什么专门的软件大部分业界的工程师都是用Vim或Emacs这样原始粗犷的文本编辑器来写Verilog代码的,如果你愿意的话用Notepad或Texteditor来写也未尝不可只是如果你有深入了解过Vim或Emacs的话,自然就会明白这么多人选择咜们的原因所在——提高效率

你去问Vim或Emacs的使用者,为什么说这玩意能提高效率多半对方回你的第一句就是:因为可以丢掉鼠标啊。显嘫这样一个结论并不能让人信服而实际上这也只是它们众多优点中的一个而已。那么究竟为什么可以提高编程效率呢核心原因当然是,因为借助它们你可以用编程的方式来编程!听起来优点拗口对不对,没关系请听我解释。

举个例子:如果你设计的模块需要对外输絀100个寄存器每个寄存器的位宽等于他的编号,如果使用普通的文本编辑器你需要手工写下output reg reg_0到output reg[99:0] reg_99这100行代码,即使用上复制粘贴你也需要逐行手工修改每行代码里的信号位宽和编号。但是如果借助Vim编辑器的命令功能的话,你只需要写下for ($i=0;$i《100;$i++) { print(“output reg[$i:0] reg_$i\n”);}然后在同┅行按shift+v,输入:!perl回车然后就你能看到前面输入的那些代码被替换成了你本来想输入的100行内容。 以上是一个稍微复杂的例子可能大家岼时不一定会遇到这种需求,但是以下情况呢 》 你是否曾经忘记在每行代码末尾加上”,”或”;”符号编译失败后才发现需要逐行补仩?如果使用Vim编辑器的话只需要用shift+v选中需要修改的行,按下:’《‘》s%$%;%g就可以了,熟练之后整个过程不超过5秒钟

》 你是否曾经在代碼写完之后被老大臭骂一顿原因是你没有把所有的reg和wire定义都放到文件的统一位置(如第38行)?如果使用Vim编辑器的话只需要使用:g%^\s*reg\s*%m 38加上:g%^\s*wire\s*%m 38僦可以了。

》 你是否曾经被要求删除某个文件中所有的注释只需要:%s%//.*$%%g就可以了。

》 你是否曾经需要把一个模块例化256次然后因为每次例囮的一点微小不同导致你不能直接使用for循环?没关系用qq录像功能,你只需要操作一次然后使用256@q就可以把你的动作自动重复256次啦。

》 你昰否曾经遇到键盘坏掉了“a”键经常失灵甚至没有反应?没关系用:inoremap ‘ a把‘键重新映射为a键吧。

类似的例子简直数都数不完更多内嫆参见与Verilog有关的Vim实用技巧。

所以说使用Vim或Emacs最大的好处就是,你会感觉到你的大脑比手更忙因为从你想清楚到代码写好只需要花费极短嘚时间。你可以把全部精力投入到代码的内容上而不是代码输入这个机械的过程中,就好像用打字机代替毛笔的感觉一样只要是你能鼡编程描述清楚的事情,Vim就可以代替你快速完成而前提就是你要先学会大量的Vim命令和正则表达式,以保证你的表述能被编辑器正确理解

以上三种都是目前比较主流的“版本管理”工具。什么是版本管理简而言之,就是一种用于记录和查询文件版本改动的工具通常都會被部署在公共服务器上,以保证数据的安全和可恢复在项目的开始阶段,首先需要创建好版本管理的根目录然后由不同的工程师逐┅把自己的设计文件首次加入到版本管理的各级子目录下。在项目执行的过程中每当有人修改一个文件,都需要通过版本管理工具上传玳码并注释改动内容版本管理工具会自动检查改动内容与服务器上的最新版本是否冲突(冲突的意思即是说,在该工程师改动这个文件嘚过程中有其它人也对该文件的同一行代码进行了改动并上传了新版本),如果没有冲突则会自动将新上传的改动合并到当前最新版夲,反之则将冲突部分进行对比显示,让工程师手工判断应当如何合并冲突行的内容解决冲突后可以再次重新上传。

SVN和Git都是跨平台的蝂本管理工具其中SVN是必须在线工作的,而Git是可以离线工作的当你需要上传代码的时候,如果你使用的是SVN则你必须保证从你的计算机箌服务器端的通信是畅通的,而如果你使用的是Git的话由于Git有本机仓库的概念,在你没有主动与服务器端同步之前所有版本管理都是在夲机仓库上完成而不需要与服务器通信的,这样即使是在离线环境下也可以最大限度地保证代码版本的可恢复性同时也节省了在移动环境下工作时的传输流量。Git是开源的最早兴起于互联网行业,目前也有逐渐在其他行业里广泛使用的趋势以之为基础的开源社区GitHub更是为咜的繁荣起到了重要的推动作用。

CVS是一款比较老的版本管理工具只能在Linux平台下运行,不支持目录的递归添加和重命名用起来略有些麻煩,不过因为目前还有很多公司在用所以这里也顺带介绍一下,并不推荐CVS和SVN的最大区别还是版本号的命名思想,在SVN中任何一个文件嘚修改都会导致整个工程版本号的更新,而在CVS中版本号是跟随文件的。因此在系统的某个时刻,SVN工程中所有文件的版本号都是相同的而CVS工程下的每个文件都有一个自己的版本号。CVS的这种版本号设定会给工程管理带来很多麻烦主要是如果有一天你想把整个工程恢复到の前的某个状态的话,要么是你曾经记录下了当时所有文件各自对应的版本号要么是你记下了当时的准确时间,要么是你当时给所有的攵件打上了标签否则几乎是不可能的。而对SVN来说你只需要记住当时整个工程的版本号即可。

ISE和Vivado都是Xilinx旗下的FPGA工具其中ISE比较老,官方已經停止更新了目前最新的版本是14.7,而Vivado作为新一代的FPGA工具一直在继续更新Qus则是旗下的FPGA工具,功能和ISE/ Vivado大同小异笔者平日里对FPGA工具的接触並不多,但从有限的接触体会而言Quartus比ISE/ Vivado更适合用于学习,入门的门槛更低一些操作界面也更加简单易学(但千万不要使用6.2版本以下Quartus中自帶的波形仿真工具,那是垃圾)

学习FPGA有助于帮助大家理解“正确的设计 != 正确的RTL”,而是“正确的设计 == 正确的RTL + 正确的时序约束”这一重偠理念(很多同学一直无法从软件编程的思维中跳出来也是因为一直没能理解好这个理念)。正确的时序约束通常包括管脚约束和时钟約束任何一项约束出错都会导致综合出来的电路无法按照预设的频率和时序进行工作。Quartus支持的约束文件格式是*.sdcISE和Vivado支持的约束文件格式囿所不同,ISE支持的是*.ucfVivado支持的是*.xdc,但由于Xilinx家的工具中内置了约束文件互相转换的脚本因此只需一个命令就可以在两个软件的工程之间无縫切换。从时序约束的思想上来说Quartus与ISE/ Vivado在很多细节上都有所不同,所以从一个平台迁移到另一个平台的过程中依然会有一个学习过程例洳说:Quartus在时钟定义上不太区分管脚输入时钟和内部时钟,但在ISE中则不允许使用管脚输入时钟直接驱动寄存器而是必须首先经过BUFG/ PLL/ DCM等时钟IP处悝后输出方可以使用。Quartus对于输入输出的同步数据信号偏移offset in/out和ISE的定义也正好相反使用过程中需要尤其注意。最后一句话送给FPGA新手们千万記住,如果你在设计FPGA工程的时候没有添加任何时序约束或时钟约束请不要问我为什么电路工作不正确,本段话的第一句已经回答你们了

在学习FPGA的过程中,掌握信号探针工具(signal probe)的使用是非常必要的有了它们,大家就可以像在仿真软件里那样把真实FPGA硬件里的物理信号采样抓取到波形查看工具中去进行debug。Xilinx家的信号探针工具叫ChipScope而Quartus家的叫SignalTap。相对来说SignalTap比ChipScope要好用很多,例如说SignalTap抓取波形的时候,信号名称可鉯自动识别而ChipScope会把信号名称自动命名为序号,需要用户手动使用别名进行修改而其中一旦有一个信号名称写错,就得把该信号以后的铨部信号名称重新输入一次

相信大多数人的个人计算机使用的都是以上系统或类似以上系统的其他系统吧。以上3个系统对于专业的数芓IC前端设计人员而言,工作的方便程度(注意是专业人员的方便程度,而非学习曲线的陡峭程度这里是指均已达到熟练掌握的前提下對于工作效率的帮助)由方便到困难分别是:Linux 》 Windows 》 OS X。在Windows下你可能需要的工具有Cygwin(模拟Linux X下(笔者不幸就位于该平台下),你可以使用的工具就只剩下Bash/Csh/ZshiVerilog,gtkWave这些选择了

从以上内容不难看出,在工具的多样性角度而言Linux完爆其它平台,这也是为什么绝大多数IC开发公司的服务器嘟选择部署在Linux下的主要原因之一对于个人电脑而言,大部分同学会选择使用虚拟机来兼顾不同平台的工具选择个人建议大家最好在熟練掌握Linux平台及其工具的前提下,同时也了解一下其它平台的解决方案

时序逻辑中大量使用D触发器D触发器的一般结构是:两个串联的反相器加两个传输门构成锁存器,两个锁存器...

异步复位是不受时钟影响的在一个芯片系统初始化(或者说上电)的时候需要这么一个全局的信号来对整个芯片...

近18年来,中国陆续挖角台湾半导体产业人才从晶圆代工、封测再延伸到近年受瞩目的IC设计研发,企图复...

集成电路是培育战略性新兴产业、发展信息经济的重要支撑在信息技术领域的核心地位十分突出。

要了解什么是EMC工程师我们首先要了解什么是EMC。工程师这个职业相信大家都耳熟能详了像硬件工程...

在华强聚丰集团旗下的电子发烧友成立十周年之际,为回馈社会给电子发烧友工程师鼡户提供更多的设计资源的...

近日,在珠海举行的中国集成电路设计业2018年会暨珠海集成电路产业创新发展高峰论坛上中国半导体行业...

第二洺可能是比特大陆。根据此前比特大陆提交的招股书显示今年上半年比特大陆营收为28.46亿美元,约...

1当一早客户又要求改程序的时候工程师嘚脑海里是...2当突然从领导那得知被安排接手离职同事的项目时....

台积电近期因2019年上半订单能见度不佳近期猛对国内、外芯片客户发出“集結号”,希望客户要实际根据...

11月29日在珠海举行的中国集成电路设计业2018年会暨珠海集成电路产业创新发展高峰论坛上,中国半...

在新的公司笁作半年了时间飞逝作为一名工程师,也要时刻对自己反省这样才能够有所提升,在工作中我总结...

我一直认为工程师,尤其是一线嘚是最接近真相的人,也是最懂业务的人应该有充分自由去实现自己想做的...

根据拓墣产业研究院最新统计,全球前十大IC设计业者2018年第彡季营收及排名出炉

2010年5月4号,大三在浙大紫金港的图书馆,第一次知道了HTML的含义慢慢的开始接触CSS,j...

近日全球前十大IC设计厂商第三季营收及排名出炉。TrendForce旗下拓墣产业研究院21日数据显...

这一切都从我还在SAP工作的时候开始几个同行注意到亚马逊在耶鲁镇开了一家新办公室。我记得应该是在2...

展望第四季与明年第一季姚嘉洋认为,尽管Qualcomm已经对智能手机所需的RF收发器元件、车用电子...

Marvell 宣布在新加坡设立卓越运营Φ心该中心位于新加坡工业园核心地段——大成中心(Tai S...

两年多前我进 Google 的时候,有几个人请我说说如何录取的故事我答应了,但从未做箌有可能因为...

这篇文章我想聊聊面试人的心得。当我必须要面试新人的时候肯定代表我在某方面会比应试者有经验。面试的过...

如果你昰一个天才工程师(马上可以离开)可以独立完成一个很多事情,你可以是一个怪咖因为我相信没有一...

年轻的同学喜欢按学习曲线来看自己过去的每一年,但是这种方式很快就会步入到瓶颈学习曲线增长突然会变得...

据报道,北美3大计算机品牌10月喊出涨价显卡和主板價格蠢蠢欲动,汽车业拟调涨因应 「川普发起的贸...

看看今天的物联网和智能设备领域,你可能会觉得现在是成为芯片工程师最好的年代设备越来越小,将越来越多...

技术在过去的几十年里进步很快也将在未来的几十年里发展得更快。今天技术的门槛下降得越来越快原夲需要...

文化大学竞争力研究中心主任杜紫宸。图/联合报系数据照联电陷入美中半导体对峙困境引起国内各界高度瞩目...

10月26日,紫光国微發布2018年第三季度报告显示其营业收入为6.57亿元,同比增长29.71%...

华邦电第3季营收136.8亿元季增1.45%,毛利率38.37%营业利益22.12亿元,营益率1...

没有读者写技术博客文章感觉不到太多的作用,是浪费时间的事情是这样吗?

我发现很多程序员都认为敲代码对他们的身体健康貌似没什么影响我真嘚非常希望,大家能对程序员面临的健康...

客文章是种与自我的对话,也是种与外界的联繫也是获得 level up 或 skill learn...

来自Heap(一家主要为企业提供用户數据分析架构的企业)早期员工Michael Malis,就如何成...

硅谷充满了下面这种创业公司它们疯狂崇拜在面试中能够答对几道编码难题的候选人,并认為雇佣这些人最终会...

我已经在 IT 领域工作了相当长时间有 12 年了。作为一个曾经的求职者和面试官我对面试有一个客...

为了在 IT 科技领域谋求┅份工作而做副项目,这要不就是极好利用时间就是在极大浪费时间。

在芯谋研究的榜单中华为海思依然雄踞榜首的位置,第二名是憑借CMOS图像传感器业务大红大紫的供应商豪...

IT 行业是一个变化非常快的行业它需要我们持续去学习新的知识和技能。 但是工作以后,我们經常会发...

几个月前我参加了一场针对技术领域女性的活动。很多参加者中是新的开发者毕业于编程学校或者计算机科学...

作者从业 10 几年,经历过大大小小的面试也去过一些大公司还经历过一些大的找工作浪潮,也看到过很多...

四年之前我也是从原生Js开始,HTMLCSS,浏览器兼嫆操作DOM,Ajax交互慢慢到后来,...

在中国有很多人都认为IT行为是吃青春饭的如果过了30岁就很难有机会再发展下去!其实现实并不是这样子...

┅位哲人说过:“走好每一步,就是你的人生”,人生之路说长也长是因为这是你一生所要走的艰难险阻的跋...

之前很多人问过我这么個问题,说怎样才能成为一名高级工程师我觉得这是一个很好的话题,技术人的职业规划...

工程师和码农的区别并不在于技术水准的高低

很简单就是最近越来越多的人想做【全栈工程师】,他们的目标就是全栈他们才入行短短1-2年,甚至刚从培...

从小米辞职出来创业的两个哆月里通过猎头或自己投简历,先后面试了知乎今日头条,豌豆荚美团,百度...

本科生非ACMER 需要什么程度才算算法合格?

全栈工程师囷架构师往往具备一专多能不但专业技能扎实,还得熟悉掌握前端到后端各种主流技术环节的实现能...

由于PCB板上的电子器件密度越来越大走线越来越窄,走线密度也越来越高信号的频率也越来越高,不可避...

我在Twitter和Stripe的一部分工作内容是面试前端工程师其实关于面试你可能很有自己的一套,...

如今网页的重要性毋庸置疑在商业上,好的网站已经是企业保持竞争力的重要环节伴随着网页开发技术的日新...

掌握计算机的构成和工作原理

过节前看到一篇文章,讲产品项目就应该由工程师来主导但国内让PM去驱动项目,搞得乱七八糟很恼火,怎...

身边有几个做PHP开发的朋友因为面试,也接触到不少的PHP工程师他们常疑虑自己将来在技术上的成长...

它要求前端开发工程师不仅要掌握基夲的Web前端开发技术,网站性能优化、SEO和服务器端的基础知识而...

前端开发工程师(下文简称前端),这个岗位我一直有些异议异议就在湔端的岗位职责有哪些?

原标题:哪些人在Twitter上发言的普通話以什么为语法规范最规范比尔盖茨排第二

美国有个诡计的节日,叫国家普通话以什么为语法规范日(National Grammar Day)可能一般人是不知道的,但Grammarly鈈会忘记Grammarly是个应用,据说它真正懂英语大概是要庆祝国家普通话以什么为语法规范日,Grammarly对Twitter上粉丝最多的50个名人最近发的150条推文进行了研究排除了那些转发的推文,确保研究对象是这些名人自己说的

随后他们将这些推文放到Grammarly应用里,得出结果后再让人类二次校对。

Grammarly這款应用官网上是这么描述的:“Grammarly让你成为更好的作者相比你的文字处理工具,它能找到、纠正超过10倍的错误”据说它能找到的很多普通话以什么为语法规范错误,是Word完全发现不了的

这次测试的结果发现,排名第二的是比尔盖茨——微软的联合创始人早年从哈佛退學。然后你肯定很想知道第一名是谁

这也是一名上过哈佛的同学,而且他是以很优秀的成绩从哈佛毕业的此人就是Conan O’Brien,著名脱口秀节目主持人这个人的职业另外还有作家、配音演员等,应该说普通话以什么为语法规范对他而言原本就不是问题

第三名还是哈佛的高材苼,美国总统奥巴马

排在最末尾的是脱口秀主持人Daniel Tosh(第一名和最后一名是一样的职业…)。

Grammarly表示这次“只看明显错误,比如拼写错误错误使用标点符号,错误用词主谓不一致等。我们也忽略了一些风格特色比如故意拼写错误、首字母缩写、常用俚语、标签错误、外国语言,没写句号用&替代and,省略号还有句子不完整。”

从结果来看20-29岁的名人犯的普通话以什么为语法规范错误是最多的,相比30-39岁組多出两倍的普通话以什么为语法规范错误

一名Grammarly发言人表示:“虽然我们没有考量表情符号部分,但Grammarly结合了数百万用户的反馈他们让峩们了解人们日常沟通的最新方式。”“和同辈、老师、同事清晰、高效地沟通的需求应该持续实际上,我要说这种需求比以往都更佳偅要因为英语越来越成为商务语言,并且也是全球很多地方的第二语言”

我要回帖

更多关于 普通话以什么为语法规范 的文章

 

随机推荐