quartusii引脚分配怎么把编译好的VHDL文件相应的元件加到原理图上?

扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
学习情境二:QuartusII原理图输入法
举报该文档为侵权文档。
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
将文档分享至:
分享完整地址
文档地址:
粘贴到BBS或博客
flash地址:
支持嵌入FLASH地址的网站使用
html代码:
&embed src='/DocinViewer-4.swf' width='100%' height='600' type=application/x-shockwave-flash ALLOWFULLSCREEN='true' ALLOWSCRIPTACCESS='always'&&/embed&
450px*300px480px*400px650px*490px
支持嵌入HTML代码的网站使用
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口您所在位置: &
&nbsp&&nbsp
实验二_基于Quartus_II的流水灯设计仿真.ppt34页
本文档一共被下载:
次 ,您可免费全文在线阅读后下载本文档
文档加载中...广告还剩秒
需要金币:150 &&
实验二_基于Quartus_II的流水灯设计仿真.ppt
你可能关注的文档:
··········
··········
实验二、基于Quartus II的 流水灯设计仿真 一、实验目的 通过本次实验,引导学生以计算机辅助设计的手段来设计数字逻辑电路; 掌握QuartusII集成开发环境软件原理图输入的设计流程; 掌握简单流水灯的工作原理,学会通过QuartusII建立原理图设计小型数字电路; 掌握可编程逻辑器件(PLD)的开发步骤; 掌握对设计进行编译、仿真的方法。 三、流水灯要求及工作原理 流水灯工作流程如上图所示,用逻辑电路控制8个LED灯,始终保持7亮1暗,在脉冲信号CP的推动下循环流动; 将灯亮用1表示,灯灭用0表示,可写出流水灯的真值表; 观察发现,3-8线译码器74LS138的逻辑真值表与其相同,因此采用74LS138作为主控逻辑器件; 如果能够通过脉冲信号CP在74LS138的A2、A1、A0地址端产生连续的000、001、010……111→000……的地址信号,在74LS138输出端的8个LED灯即可产生流水效果。 将3个边沿D/JK触发器前级的Q端与后级的CP串联,即可在Q0、Q1、Q2端产生出与000、001、010……111→000……对应的的地址信号。 1、异步模八计数器设计(在QuartusII中画图) 由JK→T’构成异步模八计数器为74LS138产生连续变化的地址信号; f1为连续脉冲CP,为便于视觉观察,输入频率一般应为1-10Hz; Q2、Q1、Q0分别接到74138的地址端A2、A1、A0; 2、译码器应用(在QuartusII中画图) Q2、Q1、Q0接三个JK触发器的Q端输出,接收前端计数器输出的地址信号; Y0、Y1、……Y7与实验板上的LED灯进行连接(在QuartusII下进行引脚锁定),观察流水现象; 五、实验步骤 1. 通过QuartusII建立一个新项目; 2. 建立项目时选MAXII系列(family)的目标器件(devices)EPM240T100C5 3. 新建图形设计文件,调用相关元件,设计总体电路原理图,并编译通过; 4. 新建波形矢量文件,添加引
正在加载中,请稍后...扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
v3v__QuartusII使用
举报该文档为侵权文档。
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
将文档分享至:
分享完整地址
文档地址:
粘贴到BBS或博客
flash地址:
支持嵌入FLASH地址的网站使用
html代码:
&embed src='/DocinViewer-.swf' width='100%' height='600' type=application/x-shockwave-flash ALLOWFULLSCREEN='true' ALLOWSCRIPTACCESS='always'&&/embed&
450px*300px480px*400px650px*490px
支持嵌入HTML代码的网站使用
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口设计一数字频率计,用VHDL语言描述,用QuartusII工具编译和综合,并在实验板上实现_百度知道
设计一数字频率计,用VHDL语言描述,用QuartusII工具编译和综合,并在实验板上实现
能不能把资料程序发我邮箱?谢谢啊
基本功能要求(1) 能够测量出方波的频率。(2) 要求测量的频率绝对误差±5Hz,其范围50Hz~50KHz。(3) 将测量出的频率以十进制格式在实验板上的4个数码管上显示。(4) 测量响应时间小于等于10秒1
我有更好的答案
来自:求助得到的回答
其他类似问题
vhdl语言的相关知识
您可能关注的推广
等待您来回答
下载知道APP
随时随地咨询
出门在外也不愁在QuartusII软件中设计的原理图文件,VHDL文件,以及仿真波形文件的后缀名分别是什么?
在QuartusII软件中设计的原理图文件,VHDL文件,以及仿真波形文件的后缀名分别是什么?
不区分大小写匿名
相关知识等待您来回答
考研领域专家

我要回帖

更多关于 quartusii 12.0下载 的文章

 

随机推荐