求高人编写一java小程序序

编写一个C语言程序求:一球从100米高度自由落下,每次落地后反跳回原高度的一半再落下,当第10次落地时..._百度知道
编写一个C语言程序求:一球从100米高度自由落下,每次落地后反跳回原高度的一半再落下,当第10次落地时...
当第10次落地时:一球从100米高度自由落下,每次落地后反跳回原高度的一半再落下,反弹的高度是多少编写一个C语言程序求
提问者采纳
the tenth is %f meter\
hn=hn/*第n次反跳高度*/n& printf(&quot.程序分析,每次落地后反跳回原高度的一半;=10.程序源代码;n&lt题目,求它在
第10次落地时? 1:见下面注释 2; 2; /再落下;2,共经过多少米,hn=sn/*第n次落地时共经过的米数*&#47:一球从100米高度自由落下: main() { float sn=100?第10次反弹多高;,hn);/ for(n=2;n&the total of road is %f\;n++)
sn=sn+2*hn.0;
} printf(&quot,sn)
其他类似问题
按默认排序
其他3条回答
for(i=2;i&2;=N.2f &
float M=100,i; int main() {
int N=10;%; sn=M.h&gt#include &lt,hn); hn=hn/2;stdlib,hn,
#include &
题目:一球从100米高度自由落下,每次落地后反跳回原高度的一半;再落下,求它在 第10次落地时,共经过多少米?第10次反弹多高? 1.程序分析:见下面
我用递归方法实现了,代码也有,但因为字数限制没法把代码粘再这。答案是0.097656
我把代码放到我的百度空间里了,
c语言程序的相关知识
等待您来回答
下载知道APP
随时随地咨询
出门在外也不愁当前位置: >
> 求高手VB帮忙写一段小程序求高手指点代码程序描述如下:有4个文本框,想实现以下功能:1、运行程序时
求高手VB帮忙写一段小程序求高手指点代码程序描述如下:有4个文本框,想实现以下功能:1、运行程序时
xiaoling000 & at
求高手VB帮忙写一段小程序求高手指点代码&
程序描述如下:
有4个文本框,
想实现以下功能:
1、运行程序时,4个文本框中可输入任意文字,退出程序后重启程序,上次输入的文字还在。
2、打开一个旺旺聊天窗口(我旺旺账号是xixili),单击任意文本框,该文本框的文字便会复制到旺旺的聊天待发送窗口
3、双击任意文本框,该文本框的文字便会自动作为旺旺的聊天内容,发送给对方
求高手指点哦
建议你自己把大部分代码完成,其中比较困难的部分,如文本框的单击,双击等自己先试一试,出错或者出问题的部分拿来问下,这样比较容易得到答案。xiaoling272727 & &
& & (0)(0)
本问题标题:
本问题地址:
温馨提示:本问题已经关闭,不能解答。
暂无合适的专家
&&&&&&&&&&&&&&&
希赛网 版权所有 & &&&&湘教QS2-164&&增值电信业务经营许可证湘B2-求JAVA高手给写一个小程序!_百度知道
求JAVA高手给写一个小程序!
班级信息、修改、姓名、教师信息!运行通过就给分、教师、评学信息的初始化 3)学校、教师、班级、所在院系、删除和查询:班级编号:1) 该系统主要处理教师评学信息、修改、班级类。4) 完成以下的操作、评学成绩等内容。3) 教师信息主要包括、班级、评学信息的添加、查找 4)学校、评学信息的录入。提示:编号、班级、教师、电话等内容: 1)建立学校类、删除、教师类、班级名称、评学信息的输出只能用JAVA写要求。2) 班级信息主要包括、评学类 2)学校
提问者采纳
包括你要不要界面,不难、删除、班级、修改,就是麻烦。要不要数据库、教师。学校、评学信息的添加、查找这就是一个很麻烦的事你这就不是一个小程序了
其他类似问题
32人觉得有用
按默认排序
其他3条回答
然后稍复杂点儿就是数据库的增删改查了,你可以自己试着写一下,楼主不敢自己写写试试么这个简单的问题,有什么不懂的我给你解答?就是几个类
这么简单,自己写啊。
~~求人不如求己!!
您可能关注的推广
小程序的相关知识
等待您来回答
下载知道APP
随时随地咨询
出门在外也不愁求高人帮忙注释VHDL 程序 串入串出 寄存器 就是做一下注释,然后写一个总的结论,如果可以希望能有流程图_好搜问答
|注册&您有新任务,
求高人帮忙注释VHDL 程序 串入串出 寄存器 就是做一下注释,然后写一个总的结论,如果可以希望能有流程图
被浏览28次
use ieee.std_logic_1164.
entity siso is
port(data_in : in std_
clk : in std_
data_out : out std_logic);
architecture a of siso is
signal q : std_logic_vector(3 downto 0);
process(clk)
if clk 'event and clk = '1' then
q(0)&=data_
for i in 1 to 3 loop
q(i)&=q(i-1);
data_out&=q(3);
采纳率:42%
--这句话没什么可说的
use ieee.std_logic_1164.--use表示“引用”,“std_logic_1164”是ieee中的一个包,“all”表示可以引用用std_logic_1164包中的所有文件
entity siso is --定义实体,实体名叫siso,这个名字可以随便取
port(data_in : in std_
clk : in std_
data_out : out std_logic);--定义了三个端口,data_in 、 clk 为输入端口,data_out 为输出端口
--结束实体定义
architecture a of siso is --定义结构体a
signal q : std_logic_vector(3 downto 0);--定义了一个信号,名字叫做q
process(clk)--开始进程
if clk 'event and clk = '1' then--在clk上升沿有效
q(0)&=data_把输入的值赋给q(0)
for i in 1 to 3 loop--循环3次
q(i)&=q(i-1);--q有四位,把q的低位赋给高位,实现移位
--结束循环
--结束进程
data_out&=q(3);--最后把q(3)赋给输出端
--结束结构体
--提示一下,结构体内部定义的信号q不可以直接输出,一定要把q的值赋给data_out才可以输出。我们可以把这个移位寄存器看做一个黑箱子,黑箱子对外只有3个端口,分别是data_in 、 clk 、data_out ,就是在实体中定义的三个端口。而信号q是封装在黑箱子内部的,外面看不到。 用微信扫描二维码分享至好友和朋友圈分享到:
下面是答答童鞋给您的小建议,您看靠谱吗?初来乍到,弄错了您不要生气哦(*^__^*)答答小贴士
等您帮助的附近的朋友换一换
大家都在搜好搜APP下载好搜摸字APP 随时随地随心搜索 用好搜, 特顺手!
第9天生活就像海洋,只有意志坚强的人才能达到生命的彼岸。知道了

我要回帖

更多关于 java小程序 的文章

 

随机推荐